Course Summary. 3213: Digital Systems & Microprocessors: L#14_15

Size: px
Start display at page:

Download "Course Summary. 3213: Digital Systems & Microprocessors: L#14_15"

Transcription

1 Course Summary 1. Course overview 2. Intro to PICOBLAZE, C and Number systems and Boolean Algebra 3. Course overview with microprocessor MU0 (I) 4. Course overview with microprocessor MU0 (II) 5. Verilog HDL 6. Digital system components using schematics and Verilog 7. Combinational logic standard forms. Karnaugh maps 8. Combinational ccts and configurable logic devices 9. Simple Sequential circuits, flip flops 10. Sequential circuits, counters, registers, memories 11. Non ideal effects in digital circuits 12. Finite State Machines 13. Design of FSMs 14. Register Transfer Level Systems (RTL) systems 15. Design of RTL Systems 16. Non ideal effects in complex digital systems (Karnaugh maps) 17. Complex RTL design 18. The PICOBLAZE Softcore 19. Assembly language programming 20. C and Assembly 21. Other microprocessor architectures 3213: Digital Systems & Microprocessors: L#14_15

2 Exam Time Table Date: Monday 22 June 2009 Reading Time starts: 9.15 am Writing time starts: 9.30 am Writing time ends: pm Permitted materials: no permitted materials (except writing materials) Venue: to be advised in final timetable. 3213: Digital Systems & Microprocessors: L#14_15

3 Introduction Non ideal Effects: Switch debouncing, timing closure Examples RTL systems review 3213: Digital Systems & Microprocessors: L#14_15

4 Non Ideal Effects: Switch Debouncing

5 Non Ideal Effects: Switch Debouncing

6 Non Ideal Effects: Switch Debouncing

7 Non Ideal Effects: Switch Debouncing

8 Non Ideal Effects: Switch Debouncing

9 Non Ideal Effects: Switch Debouncing

10 Non Ideal Effects: Switch Debouncing Switch debouncing can be tricky Electromagnetic Interference (EMI) can also cause apparent switch transitions without activating the switch Best to use intelligent software debouncers

11 Non Ideal Effects: Switch Debouncing

12 Non Ideal Effects: Switch Debouncing // Service routine called by a timer interrupt i.e. Regularly int DebounceSwitch() { static int State = 0; State=(State<<1)!RawKeyPressed() 0xe000; if(state==0xf000) return 1; return 0; }

13 Non Ideal Effects: Switch Debouncing The processor in a keyboard (computer) "debounces" the keystrokes, by aggregating them across time to produce one "confirmed" keystroke. Early membrane keyboards limited typing speed because they had to do significant debouncing. Is it necessary to do keyboard debouncing? Practice!!

14 Non Ideal Effects: Timing Closure Problem: Clock skew and data delays through propagation delays cause leads to the violation of setup and hold times: worse in large designs

15 Non Ideal Effects: Timing Closure If Tsu and Th are not violated the D is transferred to Q after the flip flop clock to output delay, Tcko If Tsu and Th are violated then Q is indeterminate Th can be ignored for Xilinx FPGAs

16 Non Ideal Effects: Timing Closure Real designs usually involve 1000's of flip flops with several levels of combinational logic between them... a multitude of logic paths Can control these logic paths. (Constraints editor, Floorplanner tools) Can verify these logic paths. (Timing analyser or TRCE tool) If timing is OK then the Timing analyser in.twr report says All timing constraints met or else...clock frequency too high or... too many logic levels or...too much routing delay

17 Non Ideal Effects: Timing Closure Safe operation: Tclk >= Tcko + (logic and routing delays) + Tsu

18 Non Ideal Effects: Timing Closure In an ideal design there will be minimal clock skew Optimal for synchronous designs is to attach a dedicated clock resource to a BUFG (2 8 in most Xilinx FPGAs) For a given Fclk and FPGA you only have to control the number of LOGIC DELAYS (LEVELS) such that the sum total of delays does not exceed the clock period

19 Non Ideal Effects: Timing Closure:.TWR report Report shows missed our timing goal: slack NEGATIVE (.662ns) 67% logic budget/33% routing budget (Xilinx recommends opposite)

20 Timing Closure: Large Clock Skwe Must respect Tcko(FFA) > SKEW However due to clock delay, Q output of FFA may be unstable (changing when FFB receives the (delayed) clock edge Clock freq does not affect the problem!!

21 Large clock skew solutions? Compensate for clock skew by adding logic delay in data path. Propagate the clock in the other direction...

22 RTL Design Examples: A Morse Code Translator 3213: Digital Systems & Microprocessors: L#L#16_17

23 Morse Code Transmits telegraphic information using rhythm. Morse code uses a standardized sequence of short and long elements (dots and dashes) to represent information. Originally invented by Samuel F. B. Morse's (April 27, 1791 April 2, 1872) for his electric telegraph in the early 1840s, Morse code was also extensively used for early radio communication beginning in the 1890s. Variable length of the Morse characters made it hard to adapt to automated circuits. Morse code mostly used now by amateur radio operators, although it is no longer a requirement for licensing in many countries.

24 Morse Code Morse code is transmitted using just two states (on and off) so it was an early form of a digital code. Visualize any Morse code sequence as a combination of the following five elements (quinary): 1. short mark, dot or 'dit' ( ) 1 2. longer mark, dash or 'dah' ( ) intra character gap (between the dots and dashes within a character) 0 4. short gap (between letters) medium gap (between words)

25 A Morse Code MO RSE C O DE

26 Design Concept Hang on..not so useless could use for texting? Morse code not amenable to digital implementation due to its syncopated asynchronous human element > Make it amenable by assigning PEGASUS push buttons to the dots, dashes and spaces. > Breaks rules by making Morse code a ternary (actually quaternary) as opposed to a quinary code. dot, dash, space is all we need! PB0 PB1 PB2 DOT DASH SPACE (FINE PRINT: this implementation cannot separate words) 3213: Digital Systems & Microprocessors:L#16_17

27 Pegasus Implementation Use three push buttons PB0, PB1, PB2 3213: Digital Systems & Microprocessors:L#16_17

28 Morse Code Module Data and Control Variables Data: [0:0] PB0,1,2 > [3:0] beeps = {DOT, DASH, SPACE, NULL} > [15:0] morse (aggregate morse code charactre) > Char (Alphanumeric character) Control: [3:0] beeps = {DOT, DASH, SPACE, NULL} > [0:0] rst, inc, chen

29 RTL Interface Let PEGASUS push buttons (PBs) do dot, dash, space. Need a separate interface (ButtonIface.v) to convert PB inputs to some for RTL system. Issues debounce the PBs a must. Need to sync inputs to FSM. DOT, DASH, SPACE, NULL work a bit like KEY/NOKEY in example in RP project description. Will not treat the display driver (HLAB3)

30 ButtonInterface

31 ButtonIface.v

32 Encode Morse dits/dahs in synced variable [3:0] beeps, where beeps can be... // Events use last two bits of DOT and DASH parameter DOT parameter DASH parameter SPACE parameter NULL = 4'b0010; = 4'b0001; = 4'b0011; = 4'b0000; //The Clayton's beep // the beep we had to have

33 ButtonIface.v: Input PB0,1,2 Output DOT/DASH/SPACE/NULL DeBounce DB(clk, PB0, PB1, PB2, X0, X1, X2); //PB* clk) begin become X* if(~x0 & X1 & X2 & ~done) // If ~done did got a ~X0 at last begin beeps <= DOT; done <= 1'b1; / /posedge clk then output a DOT end else if(~x0 & X1 & X2 & done) // begin // beeps <= NULL; done <= 1'b1;...(similar code for DASH and SPACE)... end else begin beeps <= NULL; done <= 1'b0; end If done and still ~X0 then output a NULL and still done // if posedge clk and all Pbs open // then ready to accept button push again

34 ButtonIface.v: QUESTION What happens if more than one button is pushed at a time?

35 Morse Code Controller Functional Description Inputs: beeps = {DOT, DASH, SPACE, NULL} Outputs: rst, inc, chen

36 Controller State Diagram

37 Controller State Transition Table 3213: Digital Systems & Microprocessors:L#16_17

38 Data Path Design Data path has two modules Register KeyHoldReg.v registers DOT, DASH, SPACE, NULL... need to build them up like the KHR in RP. Uses beeps and morse Register Morse2Char.v outputs an alphanumeric character for each Morse code sequence. Uses morse and char Probably would use a Look Up Table (LUT) to store characters given DOTS and DASHES but incorporate this into Morse2Char.v Always control these by binary switch controls from FSM 3213: Digital Systems & Microprocessors:L#16_17

39 RTL diagram

40 KeyHoldReg.v clk) begin if(rst) begin morse <= 16'hffff; // morse stores a word //Reset to a non morse char end else if(inc) begin morse <= {morse[13:2], beeps[1], beeps[0], 1'b0, 1'b0}; //store DOT/DASH incrementally end else morse <= morse;

41 Morse2Char.v parameter S = 14'b ; parameter O = 14'b ; clk) begin if(chen) begin case(morse[15:2]) S: char <= S; O: char <= O; endcase end end

42 Output: print S.O.S

43 A Radio Receiver A radio receiver to communicate data Receives two 16 bit data streams (I,Q) from an ADC Through an ADC Interface

44 Before ADC is analogue part..

45 ADC Interface (assume it exists)

46 Functionality Account for wireless impairments Random noise (Error coding) Intersymbol interference (Equalisation) Carrier offset (Differential coding) Timing offset (Timing recovery state machine)

47 Architecture Use differential Binary Phase Shift Keying (DBPSK) modulation BPSK modulates carrier sine wave by data stream DBPSK the data bits ( 1,1, 1, 1,...) are represented by phase changes of 0 and in the carrier signal avoids issues with carrier offset

48 BPSK No Carrier Offset: I,Q look like

49 BPSK with Carrier Offset: I/Q look like

50 DBPSK No Carrier Offset Data:

51 DBPSK No Carrier Offset Data:

52 Controllers The state description is primary FSM producing control signals Control signals determine actions performed in other parts of the system Autonomous Controller: fixed sequence of states independent of inputs

53 RTL approach Digital system divided into Data and Control subsystems. The state of a system consists of the contents of its registers. Functioning of system performed in a sequence of register transfers synchronous to the clock. The register transfer is a transformation performed on a datum while the datum is transferred from one register to another. The sequencing of the register transfers is controlled by the control subsystem

54 Sequential Execution Graphs Only one node can be executed at a time Loop Unfolded

55 Group Sequential Execution Graph In group sequential execution graphs each group can start when the preceding has been executed 3213: Digital Systems & Microprocessors:L#16_17

56 Transfer Concurrent into Sequential Execution Graph Any concurrent execution graph can be converted into an equivalent sequential graph by sequencing of the concurrent nodes

57 Concurrent vs Sequential (and G.S.) Execution Graphs Sequential execution graphs are easier to develop due to ease of tracking data transformations Control of sequential execution graphs is simpler because A single arc usually goes from one node to its unique successor A node may have several output arcs but a conditional branch determines which path is followed Sequencing can be controlled by a FSM in which each node or group of nodes corresponds to one state of the controller Concurrent graphs are potentially a faster.

Projects. Reverse polish calculator. Wireless terminal. 3213: Digital Systems & Microprocessors: L#15

Projects. Reverse polish calculator. Wireless terminal. 3213: Digital Systems & Microprocessors: L#15 Projects Reverse polish calculator Wireless terminal Reverse Polish Calculator Reverse polish Lukasiewicz (1920) Hewlet-Packard HP-35 (1972) 15 digit display 10 digit mantissa plus sign, decimal pt, two

More information

Timing Issues in FPGA Synchronous Circuit Design

Timing Issues in FPGA Synchronous Circuit Design ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 1-1 FPGA Design Flow Schematic capture HDL

More information

2014 Paper E2.1: Digital Electronics II

2014 Paper E2.1: Digital Electronics II 2014 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

EECS150 Spring 2007 Lab Lecture #5. Shah Bawany. 2/16/2007 EECS150 Lab Lecture #5 1

EECS150 Spring 2007 Lab Lecture #5. Shah Bawany. 2/16/2007 EECS150 Lab Lecture #5 1 Logic Analyzers EECS150 Spring 2007 Lab Lecture #5 Shah Bawany 2/16/2007 EECS150 Lab Lecture #5 1 Today Lab #3 Solution Synplify Warnings Debugging Hardware Administrative Info Logic Analyzer ChipScope

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 UNIVERSITY OF BOLTON [EES04] SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 INTERMEDIATE DIGITAL ELECTRONICS AND COMMUNICATIONS MODULE NO: EEE5002

More information

Introduction (concepts and definitions)

Introduction (concepts and definitions) Objectives: Introduction (digital system design concepts and definitions). Advantages and drawbacks of digital techniques compared with analog. Digital Abstraction. Synchronous and Asynchronous Systems.

More information

Motor control using FPGA

Motor control using FPGA Motor control using FPGA MOTIVATION In the previous chapter you learnt ways to interface external world signals with an FPGA. The next chapter discusses digital design and control implementation of different

More information

ENGIN 112 Intro to Electrical and Computer Engineering

ENGIN 112 Intro to Electrical and Computer Engineering ENGIN 112 Intro to Electrical and Computer Engineering Lecture 28 Timing Analysis Overview Circuits do not respond instantaneously to input changes Predictable delay in transferring inputs to outputs Propagation

More information

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form:

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form: 6.111 Lecture # 19 Controlling Position Servomechanisms are of this form: Some General Features of Servos: They are feedback circuits Natural frequencies are 'zeros' of 1+G(s)H(s) System is unstable if

More information

EECS 270: Lab 7. Real-World Interfacing with an Ultrasonic Sensor and a Servo

EECS 270: Lab 7. Real-World Interfacing with an Ultrasonic Sensor and a Servo EECS 270: Lab 7 Real-World Interfacing with an Ultrasonic Sensor and a Servo 1. Overview The purpose of this lab is to learn how to design, develop, and implement a sequential digital circuit whose purpose

More information

CS/EE Homework 9 Solutions

CS/EE Homework 9 Solutions S/EE 260 - Homework 9 Solutions ue 4/6/2000 1. onsider the synchronous ripple carry counter on page 5-8 of the notes. Assume that the flip flops have a setup time requirement of 2 ns and that the gates

More information

Digital Logic Circuits

Digital Logic Circuits Digital Logic Circuits Let s look at the essential features of digital logic circuits, which are at the heart of digital computers. Learning Objectives Understand the concepts of analog and digital signals

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

HW D2: Sequential Logic, Counters, Debounce

HW D2: Sequential Logic, Counters, Debounce HW D2: Sequential Logic, Counters, Debounce 1 HW D2: Sequential Logic, Counters, Debounce REV 3; July 18, 2010 Contents 1 Flop Reminder: edge recorder (2 points) 1 2 Debouncers (4 points) 2 2.1 SPST (2

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

EE 280 Introduction to Digital Logic Design

EE 280 Introduction to Digital Logic Design EE 280 Introduction to Digital Logic Design Lecture 1. Introduction EE280 Lecture 1 1-1 Instructors: EE 280 Introduction to Digital Logic Design Dr. Lukasz Kurgan (section A1) office: ECERF 6 th floor,

More information

Static Timing Overview with intro to FPGAs. Prof. MacDonald

Static Timing Overview with intro to FPGAs. Prof. MacDonald Static Timing Overview with intro to FPGAs Prof. MacDonald Static Timing In the 70 s timing was performed with Spice simulation In the 80 s timing was included in Verilog simulation to determine if design

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

EE19D Digital Electronics. Lecture 1: General Introduction

EE19D Digital Electronics. Lecture 1: General Introduction EE19D Digital Electronics Lecture 1: General Introduction 1 What are we going to discuss? Some Definitions Digital and Analog Quantities Binary Digits, Logic Levels and Digital Waveforms Introduction to

More information

Digital Electronics Course Objectives

Digital Electronics Course Objectives Digital Electronics Course Objectives In this course, we learning is reported using Standards Referenced Reporting (SRR). SRR seeks to provide students with grades that are consistent, are accurate, and

More information

Learning Outcomes. Spiral 2 3. DeMorgan Equivalents NEGATIVE (ACTIVE LO) LOGIC. Negative Logic One hot State Assignment System Design Examples

Learning Outcomes. Spiral 2 3. DeMorgan Equivalents NEGATIVE (ACTIVE LO) LOGIC. Negative Logic One hot State Assignment System Design Examples 2-3. Learning Outcomes 2-3.2 Spiral 2 3 Negative Logic One hot State Assignment System Design Examples I understand the active low signal convention and how to interface circuits that use both active high

More information

Data Logger by Carsten Kristiansen Napier University. November 2004

Data Logger by Carsten Kristiansen Napier University. November 2004 Data Logger by Carsten Kristiansen Napier University November 2004 Title page Author: Carsten Kristiansen. Napier No: 04007712. Assignment title: Data Logger. Education: Electronic and Computer Engineering.

More information

Design and Implementation of Programmable Sine Wave Generator for Wireless Applications using PSK/FSK Modulation Technique

Design and Implementation of Programmable Sine Wave Generator for Wireless Applications using PSK/FSK Modulation Technique Design and Implementation of Programmable Sine Wave Generator for Wireless Applications using PSK/FSK Modulation Technique Santosh Kumar Acharya Ajit Kumar Mohanty Prashanta Kumar Dehury Department of

More information

1. The decimal number 62 is represented in hexadecimal (base 16) and binary (base 2) respectively as

1. The decimal number 62 is represented in hexadecimal (base 16) and binary (base 2) respectively as BioE 1310 - Review 5 - Digital 1/16/2017 Instructions: On the Answer Sheet, enter your 2-digit ID number (with a leading 0 if needed) in the boxes of the ID section. Fill in the corresponding numbered

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE A Novel Approach of -Insensitive Null Convention Logic Microprocessor Design J. Asha Jenova Student, ECE Department, Arasu Engineering College, Tamilndu,

More information

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION Muzakkir Mas ud Adamu Depertment of Computer Engineering, Hussaini Adamu Federal Polytechnic Kazaure, Jigawa State Nigeria.

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

SEQUENTIAL NULL WAVE Robert E. Green Patent Pending

SEQUENTIAL NULL WAVE Robert E. Green Patent Pending SEQUENTIAL NULL WAVE BACKGROUND OF THE INVENTION [0010] Field of the invention [0020] The area of this invention is in communication and wave transfer of energy [0030] Description of the Prior Art [0040]

More information

Christopher Stephenson Morse Code Decoder Project 2 nd Nov 2007

Christopher Stephenson Morse Code Decoder Project 2 nd Nov 2007 6.111 Final Project Project team: Christopher Stephenson Abstract: This project presents a decoder for Morse Code signals that display the decoded text on a screen. The system also produce Morse Code signals

More information

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state:

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state: UNIVERSITY OF CALIFORNIA Department of Electrical Engineering and Computer Sciences C50 Fall 2001 Prof. Subramanian Homework #3 Due: Friday, September 28, 2001 1. Show how to implement a T flip-flop starting

More information

Finite State Machines CS 64: Computer Organization and Design Logic Lecture #16

Finite State Machines CS 64: Computer Organization and Design Logic Lecture #16 Finite State Machines CS 64: Computer Organization and Design Logic Lecture #16 Ziad Matni Dept. of Computer Science, UCSB Lecture Outline Review of Latches vs. FFs Finite State Machines Moore vs. Mealy

More information

Using IBIS Models for Timing Analysis

Using IBIS Models for Timing Analysis Application Report SPRA839A - April 2003 Using IBIS Models for Timing Analysis ABSTRACT C6000 Hardware Applications Today s high-speed interfaces require strict timings and accurate system design. To achieve

More information

DAV Institute of Engineering & Technology Department of ECE. Course Outcomes

DAV Institute of Engineering & Technology Department of ECE. Course Outcomes DAV Institute of Engineering & Technology Department of ECE Course Outcomes Upon successful completion of this course, the student will intend to apply the various outcome as:: BTEC-301, Analog Devices

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

BPSK System on Spartan 3E FPGA

BPSK System on Spartan 3E FPGA INTERNATIONAL JOURNAL OF INNOVATIVE TECHNOLOGIES, VOL. 02, ISSUE 02, FEB 2014 ISSN 2321 8665 BPSK System on Spartan 3E FPGA MICHAL JON 1 M.S. California university, Email:santhoshini33@gmail.com. ABSTRACT-

More information

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions CSE 260 Digital Computers: Organization and Logical Design Midterm Solutions Jon Turner 2/28/2008 1. (10 points). The figure below shows a simulation of the washu-1 processor, with some items blanked out.

More information

High speed FPGA based scalable parallel demodulator design

High speed FPGA based scalable parallel demodulator design High speed FPGA based scalable parallel demodulator design Master s Thesis by H.M. (Mark) Beekhof Committee: prof.dr.ir. M.J.G. Bekooij (CAES) dr.ir. A.B.J. Kokkeler (CAES) ir. J. Scholten (PS) G. Kuiper,

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

Course Overview. Course Overview

Course Overview. Course Overview Course Overview Where does this course fit into the Electrical Engineering curriculum? Page 5 Course Overview Where does this course fit into the Computer Engineering curriculum? Page 6 3 Course Content

More information

Types of Control. Programmed Non-programmed. Program Counter Hardwired

Types of Control. Programmed Non-programmed. Program Counter Hardwired Lecture #5 In this lecture we will introduce the sequential circuits. We will overview various Latches and Flip Flops (30 min) Give Sequential Circuits design concept Go over several examples as time permits

More information

UNIT-III ASYNCHRONOUS SEQUENTIAL CIRCUITS TWO MARKS 1. What are secondary variables? -present state variables in asynchronous sequential circuits 2. What are excitation variables? -next state variables

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

ICS 151 Final. (Last Name) (First Name)

ICS 151 Final. (Last Name) (First Name) ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved.

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved. FPGA Implementation Platform for MIMO- Based on UART 1 Sherif Moussa,, 2 Ahmed M.Abdel Razik, 3 Adel Omar Dahmane, 4 Habib Hamam 1,3 Elec and Comp. Eng. Department, Université du Québec à Trois-Rivières,

More information

READ THIS FIRST: *One physical piece of 8.5x11 paper (you may use both sides). Notes must be handwritten.

READ THIS FIRST: *One physical piece of 8.5x11 paper (you may use both sides). Notes must be handwritten. READ THIS FIRST: We recommend first trying this assignment in a single sitting. The midterm exam time period is 80 minutes long. Find a quiet place, grab your cheat sheet* and a pencil, and set a timer.

More information

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

Implementation of High Precision Time to Digital Converters in FPGA Devices

Implementation of High Precision Time to Digital Converters in FPGA Devices Implementation of High Precision Time to Digital Converters in FPGA Devices Tobias Harion () Implementation of HPTDCs in FPGAs January 22, 2010 1 / 27 Contents: 1 Methods for time interval measurements

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

PLC2 FPGA Days Software Defined Radio

PLC2 FPGA Days Software Defined Radio PLC2 FPGA Days 2011 - Software Defined Radio 17 May 2011 Welcome to this presentation of Software Defined Radio as seen from the FPGA engineer s perspective! As FPGA designers, we find SDR a very exciting

More information

Computer-Based Project in VLSI Design Co 3/7

Computer-Based Project in VLSI Design Co 3/7 Computer-Based Project in VLSI Design Co 3/7 As outlined in an earlier section, the target design represents a Manchester encoder/decoder. It comprises the following elements: A ring oscillator module,

More information

DIGITAL DESIGN WITH SM CHARTS

DIGITAL DESIGN WITH SM CHARTS DIGITAL DESIGN WITH SM CHARTS By: Dr K S Gurumurthy, UVCE, Bangalore e-notes for the lectures VTU EDUSAT Programme Dr. K S Gurumurthy, UVCE, Blore Page 1 19/04/2005 DIGITAL DESIGN WITH SM CHARTS The utility

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

A VLSI Implementation of Three-Lift Controller Based on Verilog * Patchala Kiran Babu 1 H.Raghunath Rao 2

A VLSI Implementation of Three-Lift Controller Based on Verilog * Patchala Kiran Babu 1 H.Raghunath Rao 2 A VLSI Implementation of Three-Lift Controller Based on Verilog * Patchala Kiran Babu 1 H.Raghunath Rao 2 1 PG Student (M. Tech), Dept. of ECE, Chirala Engineering College, Chirala., A.P, India. 2 Associate

More information

DESIGN OF BINARY MULTIPLIER USING ADDERS

DESIGN OF BINARY MULTIPLIER USING ADDERS DESIGN OF BINARY MULTIPLIER USING ADDERS Sudhir Bussa 1, Ajaykumar Rao 2, Aayush Rastogi 3 1 Assist. Prof Electronics and Telecommunication Department, Bharatividyapeeth Deemed University College of Engineering,

More information

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops Controller Implementation--Part I Alternative controller FSM implementation approaches based on: Classical Moore and Mealy machines Time state: Divide and Counter Jump counters Microprogramming (ROM) based

More information

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.10, September-2013, Pages:984-988 Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator MISS ANGEL

More information

ANALOG TO DIGITAL (ADC) and DIGITAL TO ANALOG CONVERTERS (DAC)

ANALOG TO DIGITAL (ADC) and DIGITAL TO ANALOG CONVERTERS (DAC) COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) ANALOG TO DIGITAL (ADC) and DIGITAL TO ANALOG CONVERTERS (DAC) Connecting digital circuitry to sensor devices

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION 2011 (October-November) Q-21 Draw function table of a half adder circuit? (2) Answer: - Page

More information

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 11.1

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 11.1 Introduction to Simulation of Verilog Designs For Quartus II 11.1 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an

More information

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA Mr. Pratik A. Bhore 1, Miss. Mamta Sarde 2 pbhore3@gmail.com1, mmsarde@gmail.com2 Department of Electronics & Communication Engineering Abha Gaikwad-Patil

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

Multi-Robot Coordination. Chapter 11

Multi-Robot Coordination. Chapter 11 Multi-Robot Coordination Chapter 11 Objectives To understand some of the problems being studied with multiple robots To understand the challenges involved with coordinating robots To investigate a simple

More information

VA04D 16 State DVB S2/DVB S2X Viterbi Decoder. Small World Communications. VA04D Features. Introduction. Signal Descriptions. Code

VA04D 16 State DVB S2/DVB S2X Viterbi Decoder. Small World Communications. VA04D Features. Introduction. Signal Descriptions. Code 16 State DVB S2/DVB S2X Viterbi Decoder Preliminary Product Specification Features 16 state (memory m = 4, constraint length 5) tail biting Viterbi decoder Rate 1/5 (inputs can be punctured for higher

More information

ECE 241 Digital Systems. Basic Information

ECE 241 Digital Systems. Basic Information ECE 241 Digital Systems Fall 2013 J. Anderson, P. Chow, K. Truong, B. Wang Basic Information Instructors and Lecture Information Section 1 2 3 4 Instructor Jason Anderson Kevin Truong Paul Chow Belinda

More information

8-Bit A/D Converter AD673 REV. A FUNCTIONAL BLOCK DIAGRAM

8-Bit A/D Converter AD673 REV. A FUNCTIONAL BLOCK DIAGRAM a FEATURES Complete 8-Bit A/D Converter with Reference, Clock and Comparator 30 s Maximum Conversion Time Full 8- or 16-Bit Microprocessor Bus Interface Unipolar and Bipolar Inputs No Missing Codes Over

More information

Introduction. BME208 Logic Circuits Yalçın İŞLER

Introduction. BME208 Logic Circuits Yalçın İŞLER Introduction BME208 Logic Circuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com 1 Lecture Three hours a week (three credits) No other sections, please register this section Tuesday: 09:30 12:15

More information

Universitas Sumatera Utara

Universitas Sumatera Utara Amplitude Shift Keying & Frequency Shift Keying Aim: To generate and demodulate an amplitude shift keyed (ASK) signal and a binary FSK signal. Intro to Generation of ASK Amplitude shift keying - ASK -

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/21 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012 Advanced FPGA Design Tinoosh Mohsenin CMPE 491/691 Spring 2012 Today Administrative items Syllabus and course overview Digital signal processing overview 2 Course Communication Email Urgent announcements

More information

Overview. Lecture 3. Terminology. Terminology. Background. Background. Transmission basics. Transmission basics. Two signal types

Overview. Lecture 3. Terminology. Terminology. Background. Background. Transmission basics. Transmission basics. Two signal types Lecture 3 Transmission basics Chapter 3, pages 75-96 Dave Novak School of Business University of Vermont Overview Transmission basics Terminology Signal Channel Electromagnetic spectrum Two signal types

More information

Design And Implementation of FM0/Manchester coding for DSRC. Applications

Design And Implementation of FM0/Manchester coding for DSRC. Applications Design And Implementation of / coding for DSRC Applications Supriya Shivaji Garade, Prof.P.R.Badadapure Department of Electronics and Telecommunication JSPM s Imperial College of Engineering and Research

More information

Midterm Exam ECE 448 Spring Thursday Section. (15 points)

Midterm Exam ECE 448 Spring Thursday Section. (15 points) Midterm Exam ECE 448 Spring 2012 (15 points) Instructions: Zip all your deliverables into an archive .zip and submit it through Blackboard no later than Thursday, March 8, 10:15 PM EST. 1 Introduction:

More information

Computer Architecture (TT 2012)

Computer Architecture (TT 2012) Computer Architecture (TT 212) Laws of Attraction aniel Kroening Oxford University, Computer Science epartment Version 1., 212 . Kroening: Computer Architecture (TT 212) 2 . Kroening: Computer Architecture

More information

Surfing on a Sine Wave

Surfing on a Sine Wave Surfing on a Sine Wave 6.111 Final Project Proposal Sam Jacobs and Valerie Sarge 1. Overview This project aims to produce a single player game, titled Surfing on a Sine Wave, in which the player uses a

More information

EEE 301 Digital Electronics

EEE 301 Digital Electronics EEE 301 Digital Electronics Lecture 1 Course Contents Introduction to number systems and codes. Analysis and synthesis of digital logic circuits: Basic logic functions, Boolean algebra,combinational logic

More information

SOQPSK Software Defined Radio

SOQPSK Software Defined Radio SOQPSK Software Defined Radio Item Type text; Proceedings Authors Nash, Christopher; Hogstrom, Christopher Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

6. FUNDAMENTALS OF CHANNEL CODER

6. FUNDAMENTALS OF CHANNEL CODER 82 6. FUNDAMENTALS OF CHANNEL CODER 6.1 INTRODUCTION The digital information can be transmitted over the channel using different signaling schemes. The type of the signal scheme chosen mainly depends on

More information

logic system Outputs The addition of feedback means that the state of the circuit may change with time; it is sequential. logic system Outputs

logic system Outputs The addition of feedback means that the state of the circuit may change with time; it is sequential. logic system Outputs Sequential Logic The combinational logic circuits we ve looked at so far, whether they be simple gates or more complex circuits have clearly separated inputs and outputs. A change in the input produces

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

Practical Workbook Logic Design & Switching Theory

Practical Workbook Logic Design & Switching Theory Practical Workbook Logic Design & Switching Theory Name : Year : Batch : Roll No : Department: Second Edition Fall 2017-18 Dept. of Computer & Information Systems Engineering NED University of Engineering

More information

Module 3: Physical Layer

Module 3: Physical Layer Module 3: Physical Layer Dr. Associate Professor of Computer Science Jackson State University Jackson, MS 39217 Phone: 601-979-3661 E-mail: natarajan.meghanathan@jsums.edu 1 Topics 3.1 Signal Levels: Baud

More information

VIDYAVARDHAKA COLLEGE OF ENGINEERING

VIDYAVARDHAKA COLLEGE OF ENGINEERING COURSE OUTCOMES OF 15 SCHEME SUBJECTS : 15MAT31 : C201 : Engg. Mathematics III CO1. Apply periodic signals and Fourier series to analyse circuits and system communications and develop Fourier series for

More information

Lecture 3: Modulation & Clock Recovery. CSE 123: Computer Networks Stefan Savage

Lecture 3: Modulation & Clock Recovery. CSE 123: Computer Networks Stefan Savage Lecture 3: Modulation & Clock Recovery CSE 123: Computer Networks Stefan Savage Lecture 3 Overview Signaling constraints Shannon s Law Nyquist Limit Encoding schemes Clock recovery Manchester, NRZ, NRZI,

More information

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28 Subject Code: 17333 Model Answer P a g e 1/28 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC LOGIC Logic is a branch of math that tries to look at problems in terms of being either true or false. It will use a set of statements to derive new true

More information

EE 314 Spring 2003 Microprocessor Systems

EE 314 Spring 2003 Microprocessor Systems EE 314 Spring 2003 Microprocessor Systems Laboratory Project #9 Closed Loop Control Overview and Introduction This project will bring together several pieces of software and draw on knowledge gained in

More information

ECE 551: Digital System Design & Synthesis

ECE 551: Digital System Design & Synthesis ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing 9.2: Optimization (In separate file) 03/30/03 1 ECE 551 - Digital System Design & Synthesis Lecture 9.1 - Constraints

More information

Theory of Logic Circuits. Laboratory manual. Exercise 4

Theory of Logic Circuits. Laboratory manual. Exercise 4 Zakład Mikroinformatyki i Teorii Automatów Cyfrowych Theory of Logic Circuits Laboratory manual Exercise 4 Asynchronous sequential logic circuits 2008 Krzysztof Cyran, Piotr Czekalski (edt.) 1. Introduction

More information

Digital Electronic Concepts

Digital Electronic Concepts Western Technical College 10662137 Digital Electronic Concepts Course Outcome Summary Course Information Description Career Cluster Instructional Level Total Credits 4.00 Total Hours 108.00 This course

More information

Aim. Lecture 1: Overview Digital Concepts. Objectives. 15 Lectures

Aim. Lecture 1: Overview Digital Concepts. Objectives. 15 Lectures Aim Lecture 1: Overview Digital Concepts to give a first course in digital electronics providing you with both the knowledge and skills required to design simple digital circuits and preparing you for

More information

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator Design and FPGA Implementation of an Adaptive Demodulator Sandeep Mukthavaram August 23, 1999 Thesis Defense for the Degree of Master of Science in Electrical Engineering Department of Electrical Engineering

More information