TIE Plus. The step towards interconnect simulation technology

Size: px
Start display at page:

Download "TIE Plus. The step towards interconnect simulation technology"

Transcription

1 Bitte decken Sie die schraffierte Fläche mit einem Bild ab. Please cover the shaded area with a picture. (24,4 x 11,0 cm) TIE Plus. The step towards interconnect simulation technology Catalin Negrea, Ph. D. Team Leader - EE Simulation & Controller Platforms Technical Expert - Signal & Power Integrity Continental Automotive, Interior Division 23 April 2015 IID EE CDH

2 Virtual Prototyping Virtual prototyping = the usage of computer based modeling and simulation techniques for the definition of a multidisciplinary model of the device under development (with the goal of predicting physical behavior in different use cases) SPICE-like Circuit Sim. CAD Model Virtual Prototype Thermal Simulation SI \ PI \ EMI Simulation Source: Aberdeen Group Market Study Why PCB Design Matters to the Executive, Feb Ideal world goal Real R&D world goal Get it right the first time! Reduce number of design loops, prototype costs, development time 2

3 TIE Plus Objectives TIE Plus = a new contest challenge under the TIE brand, dedicated to virtual prototyping disciplines that support high-complexity PCB design Objectives: Promoting simulation based PCB design disciplines in universities and R&D centers Stimulating the development of future specialist in the field of interconnect simulation in a accordance to best-in-class companies demands Create a collaborative-competitive environment where the candidates presents their technical solutions, but also exchange ideas on simulation approaches and get in touch other PCB design professionals Subject topics for upcoming editions of TIE plus: Signal Integrity (SI) -> simulations for signal integrity associated with wired data transmissions at PCB and system level Power Integrity (PI) -> simulation power supply distribution networks in high frequency digital applications 3

4 What is high speed? As the variation speed of electric signals increases, physical properties of the interconnect structures can induce unwanted signal distortion Due to the need of high data rates (implying high frequency), the signal s rise&fall times become smaller and smaller (for DDR interfaces tr~0.2-1 ns) The interconnect path can be no longer modeled as an RLC structure, but as a transmission line with a electrical behavior described by the telegraphers equations The following items fall in to the high speed domain and must be considered in the analysis: interconnect reflections losses due to skin effect crosstalk (near end and far end) interconnect timing delays IC package parasitics IC driver\receiver circuit characteristics A signal can have a frequency of just some khz and but still pose a SI risk due to it s variation speed (V/ns) 21 September 2015 Author, Continental AG 4

5 What can be considered an interconnect? Every electrical connection element placed in the signal path is a potential source for signal degradation and its electrical behavior must be characterized in order evaluate the impact on the transmitted signal {- die-to-package connection (wire bond, flip-chip) - package wiring Interconnects - PCB routing - connectors - cables Driver Receiver IC Receiver 21 September 2015 Author, Continental AG 5

6 What is a transmission line? (At least) two parameter determine a transmission line Propagation speed Characteristic impedance Z L A transmission line can be cut in short pieces having the length Δx: Inductance per unit length i(x,t) R Δx L Δx i(x+δx,t) Δx v(x,t) C Δx G Δx v(x+δx,t) Δx Capacitance per unit length Transmission Line RLCG segment 6

7 What is high speed? When do these effects become critical? Rule of thumb (for FR4): l max l max / inch tr Source: Eric Bogatin, Signal and Power Integrity Simplified, Prentice Hall, 2004 so for a 25mm trace length, a signal with a rise time < 1ns (for 3.3V logic this would mean ~2V/ns) creates already a SI risk of signal if the interconnect is not properly designed and properly designed for SI means impedance matching at circuit level / ns ( mm) ~ 25 tr (ns) The term high speed indicates an unhappy combination of interconnect length and variation speed 7

8 Impedance Discontinuities Changes in interconnect impedance over the signal transmission path will cause distortion depending on driver characteristics (every IC I/O has a non-linear impedance curve) and interconnect discontinuity characteristics. Impedance discontinuities have consequences: overshoot \ undershoot reflections in the triggering region false logic triggering interconnect added jitter timing deviations 21 September 2015 Author, Continental AG 8

9 Controlled Impedance Routing Microstrip Stripline 21 September 2015 Author, Continental AG 9

10 But some things can not be avoided. Reality may look something like this Via (bottom to inner layer) Microvia Meander Via (top to bottom layer) 21 September 2015 Author, Continental AG 10

11 .and there are EM interaction as well 21 September 2015 Author, Continental AG 11

12 The need for SI simulations The need to incorporate such specialized electromagnetic simulations in to the PCB design flow is determined by the fact that, at high frequencies associated with digital data transmissions, the interconnect can be no longer considered an ideal electrical connection and the parasitic elements have to be taken in to consideration. Assuring the compliance of signal waveforms to data transmission standards in a non-ideal electromagnetic environment is becoming more of a challenge as data transfer rates and component density continually increase. Signal integrity is becoming a mandatory discipline in the EE design flow even for medium complexity\density projects (4 layers, BGA) 12

13 SI \ PI Workflow Problem evaluate of system\ interface requirements define design targets define modeling approach Formulation layout directives Pre-layout Analysis define PCB stack-up (layers, materials) what-if simulations explore design possibilities in order to meet interface requirements, obtain best performance/cost ratio PCB design optimization fine-tuning troubleshooting Post-layout Analysis PCB stack-up and CAD represent the inputs for the simulation Identify potential problems that were not considered in prelayout debugging of a physical prototype problem; identify root cause and define possible remedies 13

14 Signal integrity simulation model types involved 3D solver imports IBIS Models (Vendor) Transmission Line Models Simple RLC SPICE Models (Vendor) Touchstone (s-param files) 14

15 SI & PI Simulation Tools Designer SI, HFSS, SIWave 15

16 High Speed Design makes the difference.and after optimization Address line waveforms for a DDR3 multi-drop system before optimization 16

17 Power Integrity Power integrity (PI) is a topic that is related to the voltage variation in the power distribution network (PDN). It is a relatively new topic as compared to electromagnetic compatibility (EMC) and signal integrity (SI). However, they cannot be separated as the identification and solving of these problems are still based on Maxwell s EM theory. Power Integrity Concept Problems Micro-controller DDR Memories DDR Memories DDR Memories DDR Memories DDR Memories DDR Memories DC DDR Memories DDR Memories Add parasitic elements AC Micro-controller 21 September 2015 Author, Continental AG 17

18 Impedance (Ω) Power Integrity In a power distribution network, there are many peripherals that can affect its performance. It is important to note that the effectiveness of each component is frequency limited. PCB High Frequency Capacitors Electrolytic Capacitors Power Supply 1 KHz 1 MHz 100 MHz GHz Frequency 18

19 TIE Plus workflow ation of the subject on TIE website Online contestant registration Solving the subject Assessment of the solutions ation of subject The subject content will published on the TIE website in a separate form TIE Plus. Also contest topics, recommended bibliography and contest regulations will be available. At this stage only the subject text and block diagrams will be public. The simulation models, datasheets and other details will be available for download only after the contestant is registered. Contestant registration The registration will be done using an on-line application form. The provided information will be analyzed by the technical committee and a notification will be sent to the applicant (accepted \ rejected as a contestant for TIE Plus). After acceptance the contestant will receive user login information on the TIE plus platform. Based on this he will be able to download all necessary files associated with the subject. Solving the subject Solving the subjects will be done own (or university/company based) technical means (hardware and software). The technical solution will be posed as R&D report document that shall be uploaded on the web platform. Assessment of the solutions The contestants are required to prepare a short presentation (10-15 min.) that will be exposed to the technical committee during an evaluation meeting. The presentation content must be in full agreement with the uploaded R&D report. 19

20 TIE Plus topics Transmission line theory. Line impedance. Propagation delay. Reflections Single ended and differential data transmissions Terminations Eye diagrams Routing topologies Crosstalk Coupling mechanisms Near-End and Far-End crosstalk Crosstalk induced ISI IC modeling for SI simulations IBIS Modeling I\O buffer impedance analysis Package parasitics modeling Passive components & interconnect modeling S-parameters Touchstone modeling Capacitor model Via hole modeling 2D\3D Field solver extraction PCB Stack-up definition Timing analysis Interface budget planning Interconnect propagation delays Jitter characterization Signal Integrity simulation Power Integrity simulation 20

21 TIE Plus recommended bibliography Signal Integrity Issues and Printed Circuit Board Design, Douglas Brooks, Prentice Hall PTR High-Speed Digital Design A handbook of Black Magic, H. W. Johnson, Prentice Hall PTR High-Speed Circuit Board Signal Integrity, Stephen C. Thierauf, Artech House Advanced Signal Integrity for High-Speed Digital Designs, Stephen Hall, Howard Heck, IEEE-Wiley, Frequency Domain Characterization of Power Distribution Networks, Istvan Novak, Jason R. Miller, Artech House, Boston, Signal Integrity Simplified, Eric Bogatin, Prentice Hall, New Jersey, Signal Integrity Characterization Techniques, Mike Resso, Eric Bogatin, IEC, START HERE! Digital Signal Integrity: Modeling and Simulation with Interconnects and Packages, Brian Young, Prentice Hall, Timing Analysis and Simulation for Signal Integrity Engineers, Greg Edlund, Prentice Hall,

22 TIE Plus evaluation criteria Modeling approach (using the right field solver for a specific problem) Modeling fidelity (how close does the simulation model gets to the physical system) Exposure of numerical results (e.g. variation timing parameters vs. trace length ) Correctness of simulation problem formulation (transposing interface requirements and datasheet information in to a simulatable system ) Formulation and argumentation of layout directives (clarity of directives; applicability in to a real design) Applicability of the provided solution to a PCB (complies with generic IPC and is implementable using conventional fabrication processes) 22

23 A SIMPLE REAL WORLD EXAMPLE - Parallel Flash Memory Interface Analysis - 23

24 System Overview Controll lines Series R Controll lines IO Lines Series R IO Lines ucontroller R/B Pull up R + Series R NAND Flash ucontroller IBIS: ucx21_pl_modified.ibs Output driver models used: model-613_yo model-615_yo Parallel memory interface Fdata_lines = 25MHz Tdata_lines = 40 ns Rise/ fall time = ~ ns Micron Flash Memory : IBIS: m60a_at.ibs I/O driver models used: DQ_FULL_33 Datasheet: m60a_4gb_8gb_16gb_ecc_nand.pdf Requirements: 1. Indentify network resistor values to meet the timing (high time time above 1 ) and level requirements (undershoots and overshoots) during read operation 24

25 PCB Design IC3300 NAND Stack-up IC508 ucontroller 25

26 ucontroller Input Requirements V IH = 0.8Vcc = 2.4 / 2.64 / 2.88 V (slow\typ\fast) V IL = 0.2Vcc = 0.6 / 0.66 / 0.72 V (slow\typ\fast) V in_min =-0.25 V V in_max = 3.75 V T high/low min = 15ns T setup min =10ns T hold min =5ns 26

27 2D sectional extraction Layout Sectional meshing in field solver 1699 sections up to 1GHz 27

28 Simulation Topology I/O lines Resistor Networks NAND Layout extraction -touchstone file *.s32p ucontroller 28

29 Results: I/O Lines Read R0Ω, DIE FAST TYP SLOW Over and Under shoot values exceed maximum specification 29

30 Resistor values sweep in FAST case / Rising edge 3.75 V 0 R 47 R 22 R 68 R 100R 30

31 Resistor values sweep in FAST case / Falling edge 100 R 68R 47 R 22 R V 0 R 31

32 Timing verification in SLOW case 47 R 68 R 100R 100R => 14.6ns 68R => 15.8ns 47R => 16.8ns Choose the slowest signal that meets requirements 32

33 Results: I/O Lines Read R68Ω, DIE FAST TYP SLOW 33

34 Thank you for your attention.

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

EMC Simulation Signal Integrity Simulation in Automotive Design

EMC Simulation Signal Integrity Simulation in Automotive Design Bitte decken Sie die schraffierte Fläche mit einem Bild ab. Please cover the shaded area with a picture. (24,4 x 11,0 cm) EMC Simulation Signal Integrity Simulation in Automotive Design Stefanie Schatt

More information

Impedance Matching: Terminations

Impedance Matching: Terminations by Barry Olney IN-CIRCUIT DESIGN PTY LTD AUSTRALIA column BEYOND DESIGN Impedance Matching: Terminations The impedance of the trace is extremely important, as any mismatch along the transmission path will

More information

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY Rémy FERNANDES Lead Application Engineer ANSYS 1 2018 ANSYS, Inc. February 2, 2018 ANSYS ANSYS - Engineering simulation software leader Our industry reach

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

Taking the Mystery out of Signal Integrity

Taking the Mystery out of Signal Integrity Slide - 1 Jan 2002 Taking the Mystery out of Signal Integrity Dr. Eric Bogatin, CTO, GigaTest Labs Signal Integrity Engineering and Training 134 S. Wolfe Rd Sunnyvale, CA 94086 408-524-2700 www.gigatest.com

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

Signal Integrity, Part 1 of 3

Signal Integrity, Part 1 of 3 by Barry Olney feature column BEYOND DESIGN Signal Integrity, Part 1 of 3 As system performance increases, the PCB designer s challenges become more complex. The impact of lower core voltages, high frequencies

More information

VLSI is scaling faster than number of interface pins

VLSI is scaling faster than number of interface pins High Speed Digital Signals Why Study High Speed Digital Signals Speeds of processors and signaling Doubled with last few years Already at 1-3 GHz microprocessors Early stages of terahertz Higher speeds

More information

LVDS Owner s Manual. A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products. Moving Info with LVDS

LVDS Owner s Manual. A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products. Moving Info with LVDS LVDS Owner s Manual A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products Moving Info with LVDS Revision 2.0 January 2000 LVDS Evaluation Boards Chapter 6 6.0.0 LVDS

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support www.ozeninc.com info@ozeninc.com (408) 732 4665 1210 E Arques Ave St 207 Sunnyvale, CA 94085 Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training &

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model HSD Strategic Intent Provide the industry s premier HSD EDA software. Integration of premier

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0 LVDS Flow Through Evaluation Boards LVDS47/48EVK Revision 1.0 January 2000 6.0.0 LVDS Flow Through Evaluation Boards 6.1.0 The Flow Through LVDS Evaluation Board The Flow Through LVDS Evaluation Board

More information

Designing external cabling for low EMI radiation A similar article was published in the December, 2004 issue of Planet Analog.

Designing external cabling for low EMI radiation A similar article was published in the December, 2004 issue of Planet Analog. HFTA-13.0 Rev.2; 05/08 Designing external cabling for low EMI radiation A similar article was published in the December, 2004 issue of Planet Analog. AVAILABLE Designing external cabling for low EMI radiation

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

IBIS Data for CML,PECL and LVDS Interface Circuits

IBIS Data for CML,PECL and LVDS Interface Circuits Application Note: HFAN-06.2 Rev.1; 04/08 IBIS Data for CML,PECL and LVDS Interface Circuits AVAILABLE IBIS Data for CML,PECL and LVDS Interface Circuits 1 Introduction The integrated circuits found in

More information

ICS7151A-50 SPREAD SPECTRUM CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET

ICS7151A-50 SPREAD SPECTRUM CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET DATASHEET ICS7151A-50 Description The ICS7151A-50 is a clock generator for EMI (Electromagnetic Interference) reduction. Spectral peaks are attenuated by modulating the system clock frequency. Down or

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

Facility Grounding & Bonding Based on the EMC/PI/SI Model for a High Speed PCB/Cabinet

Facility Grounding & Bonding Based on the EMC/PI/SI Model for a High Speed PCB/Cabinet Facility Grounding & Bonding Based on the EMC/PI/SI Model for a High Speed PCB/Cabinet and: SILICON LABS AN203 PRINTED CIRCUIT BOARD DESIGN NOTES www.silabs.com William Bush (wbush@ieee.org) Industry Consultant

More information

EMI. Chris Herrick. Applications Engineer

EMI. Chris Herrick. Applications Engineer Fundamentals of EMI Chris Herrick Ansoft Applications Engineer Three Basic Elements of EMC Conduction Coupling process EMI source Emission Space & Field Conductive Capacitive Inductive Radiative Low, Middle

More information

PCI-EXPRESS CLOCK SOURCE. Features

PCI-EXPRESS CLOCK SOURCE. Features DATASHEET ICS557-01 Description The ICS557-01 is a clock chip designed for use in PCI-Express Cards as a clock source. It provides a pair of differential outputs at 100 MHz in a small 8-pin SOIC package.

More information

DesignCon Design of Gb/s Interconnect for High-bandwidth FPGAs. Sherri Azgomi, Altera Corporation

DesignCon Design of Gb/s Interconnect for High-bandwidth FPGAs. Sherri Azgomi, Altera Corporation DesignCon 2004 Design of 3.125 Gb/s Interconnect for High-bandwidth FPGAs Sherri Azgomi, Altera Corporation sazgomi@altera.com Lawrence Williams, Ph.D., Ansoft Corporation williams@ansoft.com CF-031505-1.0

More information

High-Performance Electronic Design: Predicting Electromagnetic Interference

High-Performance Electronic Design: Predicting Electromagnetic Interference White Paper High-Performance Electronic Design: In designing electronics in today s highly competitive markets, meeting requirements for electromagnetic compatibility (EMC) presents a major risk factor,

More information

EMC cases study. Antonio Ciccomancini Scogna, CST of America CST COMPUTER SIMULATION TECHNOLOGY

EMC cases study. Antonio Ciccomancini Scogna, CST of America CST COMPUTER SIMULATION TECHNOLOGY EMC cases study Antonio Ciccomancini Scogna, CST of America antonio.ciccomancini@cst.com Introduction Legal Compliance with EMC Standards without compliance products can not be released to the market Failure

More information

Intro. to PDN Planning PCB Stackup Technology Series

Intro. to PDN Planning PCB Stackup Technology Series Introduction to Power Distribution Network (PDN) Planning Bill Hargin In-Circuit Design b.hargin@icd.com.au 425-301-4425 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane

More information

High-Speed PCB Design und EMV Minimierung

High-Speed PCB Design und EMV Minimierung TRAINING Bei dem hier beschriebenen Training handelt es sich um ein Cadence Standard Training. Sie erhalten eine Dokumentation in englischer Sprache. Die Trainingssprache ist deutsch, falls nicht anders

More information

EMC Simulation. EMC Simulation of a SEPIC DC-DC Conducted Emissions and Radiated Emissions

EMC Simulation. EMC Simulation of a SEPIC DC-DC Conducted Emissions and Radiated Emissions Bitte decken Sie die schraffierte Fläche mit einem Bild ab. Please cover the shaded area with a picture. (4,4 x,0 cm) EMC Simulation EMC Simulation of a SEPIC DC-DC Conducted Emissions and Radiated Emissions

More information

Myoung Joon Choi, Vishram S. Pandit Intel Corp.

Myoung Joon Choi, Vishram S. Pandit Intel Corp. Myoung Joon Choi, Vishram S. Pandit Intel Corp. IBIS Summit at DesignCon 2010 Acknowledgements: Woong Hwan Ryu, Joe Salmon Copyright 2010, Intel Corporation. All rights reserved. Need for SI/PI Co-analysis

More information

Study on Bluetooth Antenna Integration into Metal Environment Julnar Musmar, Dr. Ing Denis Sievers, Ralf Kakerow Continental, Paderborn University

Study on Bluetooth Antenna Integration into Metal Environment Julnar Musmar, Dr. Ing Denis Sievers, Ralf Kakerow Continental, Paderborn University Bitte decken Sie die schraffierte Fläche mit einem Bild ab. Please cover the shaded area with a picture. (24,4 x 7,6 cm) Study on Bluetooth Antenna Integration into Metal Environment Julnar Musmar, Dr.

More information

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen Si-Interposer Collaboration in IC/PKG/SI Eric Chen 4/Jul/2014 Design Overview U-bump Logic IC Mem IC C4 bump Logic IC Silicon/Organic substrate Interposer Mem IC CAP Package substrate Solder Ball VRM BGA

More information

EMC for Printed Circuit Boards

EMC for Printed Circuit Boards 9 Bracken View, Brocton Stafford, Staffs, UK tel: +44 (0)1785 660 247 fax +44 (0)1785 660 247 email: keith.armstrong@cherryclough.com web: www.cherryclough.com EMC for Printed Circuit Boards Basic and

More information

WD3122EC. Descriptions. Features. Applications. Order information. High Efficiency, 28 LEDS White LED Driver. Product specification

WD3122EC. Descriptions. Features. Applications. Order information. High Efficiency, 28 LEDS White LED Driver. Product specification High Efficiency, 28 LEDS White LED Driver Descriptions The is a constant current, high efficiency LED driver. Internal MOSFET can drive up to 10 white LEDs in series and 3S9P LEDs with minimum 1.1A current

More information

MPC 5534 Case study. E. Sicard (1), B. Vrignon (2) Toulouse France. Contact : web site :

MPC 5534 Case study. E. Sicard (1), B. Vrignon (2) Toulouse France. Contact : web site : MPC 5534 Case study E. Sicard (1), B. Vrignon (2) (1) INSA-GEI, 135 Av de Rangueil 31077 Toulouse France (2) Freescale Semiconductors, Toulouse, France Contact : etienne.sicard@insa-toulouse.fr web site

More information

SGM9154 Single Channel, Video Filter Driver for HD (1080p)

SGM9154 Single Channel, Video Filter Driver for HD (1080p) PRODUCT DESCRIPTION The SGM9154 video filter is intended to replace passive LC filters and drivers with an integrated device. The 6th-order channel offers High Definition (HDp) filter. The SGM9154 may

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

ICS PCI-EXPRESS CLOCK SOURCE. Description. Features. Block Diagram DATASHEET

ICS PCI-EXPRESS CLOCK SOURCE. Description. Features. Block Diagram DATASHEET DATASHEET ICS557-0 Description The ICS557-0 is a clock chip designed for use in PCI-Express Cards as a clock source. It provides a pair of differential outputs at 00 MHz in a small 8-pin SOIC package.

More information

AN EXPERIMENTAL ANALYSIS OF SIGNAL REFLECTIONS ON PRINTED CIRCUIT BOARD TRANSMISSION LINES

AN EXPERIMENTAL ANALYSIS OF SIGNAL REFLECTIONS ON PRINTED CIRCUIT BOARD TRANSMISSION LINES Volume, Number, AN EXPERIMENTAL ANALYSIS OF SIGNAL REFLECTIONS ON PRINTED CIRCUIT BOARD TRANSMISSION LINES Monica ZOLOG Technical University of Cluj-Napoca, Cluj-Napoca Str. George Bariţiu nr. -8, Cluj-Napoca,

More information

EUA2011A. Low EMI, Ultra-Low Distortion, 2.5-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS

EUA2011A. Low EMI, Ultra-Low Distortion, 2.5-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS Low EMI, Ultra-Low Distortion, 2.5-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION The EUA2011A is a high efficiency, 2.5W mono class-d audio power amplifier. A new developed filterless PWM

More information

Applications of 3D Electromagnetic Modeling in Magnetic Recording: ESD and Signal Integrity

Applications of 3D Electromagnetic Modeling in Magnetic Recording: ESD and Signal Integrity Applications of 3D Electromagnetic Modeling in Magnetic Recording: ESD and Signal Integrity CST NORTH AMERICAN USERS FORUM John Contreras 1 and Al Wallash 2 Hitachi Global Storage Technologies 1. San Jose

More information

Todd H. Hubing Michelin Professor of Vehicular Electronics Clemson University

Todd H. Hubing Michelin Professor of Vehicular Electronics Clemson University Essential New Tools for EMC Diagnostics and Testing Todd H. Hubing Michelin Professor of Vehicular Electronics Clemson University Where is Clemson University? Clemson, South Carolina, USA Santa Clara Valley

More information

LVTTL/CMOS DATA INPUT 100Ω SHIELDED TWISTED CABLE OR MICROSTRIP PC BOARD TRACES. Maxim Integrated Products 1

LVTTL/CMOS DATA INPUT 100Ω SHIELDED TWISTED CABLE OR MICROSTRIP PC BOARD TRACES. Maxim Integrated Products 1 19-1927; Rev ; 2/1 Quad LVDS Line Driver with General Description The quad low-voltage differential signaling (LVDS) differential line driver is ideal for applications requiring high data rates, low power,

More information

KH300 Wideband, High-Speed Operational Amplifier

KH300 Wideband, High-Speed Operational Amplifier Wideband, High-Speed Operational Amplifier Features -3dB bandwidth of 85MHz 00V/µsec slew rate 4ns rise and fall time 100mA output current Low distortion, linear phase Applications Digital communications

More information

Unlocking the Power of GaN PSMA Semiconductor Committee Industry Session

Unlocking the Power of GaN PSMA Semiconductor Committee Industry Session Unlocking the Power of GaN PSMA Semiconductor Committee Industry Session March 24 th 2016 Dan Kinzer, COO/CTO dan.kinzer@navitassemi.com 1 Mobility (cm 2 /Vs) EBR Field (MV/cm) GaN vs. Si WBG GaN material

More information

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB 3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB Tae Hong Kim, Hyungsoo Kim, Jun So Pak, and Joungho Kim Terahertz

More information

Experience at INFN Padova on constrained PCB design Roberto Isocrate INFN-Padova

Experience at INFN Padova on constrained PCB design Roberto Isocrate INFN-Padova Experience at INFN Padova on constrained PCB design Roberto Isocrate INFN-Padova Experience at INFN Padova on constrained design 1. Why do we need Signal Integrity (SI) analysis (and constrained design)?

More information

SSTV V 13-bit to 26-bit SSTL_2 registered buffer for stacked DDR DIMM

SSTV V 13-bit to 26-bit SSTL_2 registered buffer for stacked DDR DIMM INTEGRATED CIRCUITS 2000 Dec 01 File under Integrated Circuits ICL03 2002 Feb 19 FEATURES Stub-series terminated logic for 2.5 V (SSTL_2) Optimized for stacked DDR (Double Data Rate) SDRAM applications

More information

CLK_EN CLK_SEL. Q3 THIN QFN-EP** (4mm x 4mm) Maxim Integrated Products 1

CLK_EN CLK_SEL. Q3 THIN QFN-EP** (4mm x 4mm) Maxim Integrated Products 1 19-2575; Rev 0; 10/02 One-to-Four LVCMOS-to-LVPECL General Description The low-skew, low-jitter, clock and data driver distributes one of two single-ended LVCMOS inputs to four differential LVPECL outputs.

More information

ICS7152A SPREAD SPECTRUM CLOCK GENERATOR. Description. Features. Block Diagram. Product Lineup DATASHEET

ICS7152A SPREAD SPECTRUM CLOCK GENERATOR. Description. Features. Block Diagram. Product Lineup DATASHEET DATASHEET ICS7152A Description The ICS7152A-02 and -11 are clock generators for EMI (Electromagnetic Interference) reduction (see below for frequency ranges and multiplier ratios). Spectral peaks are attenuated

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

Design Guide for High-Speed Controlled Impedance Circuit Boards

Design Guide for High-Speed Controlled Impedance Circuit Boards IPC-2141A ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Design Guide for High-Speed Controlled Impedance Circuit Boards Developed by the IPC Controlled Impedance Task Group (D-21c) of the High Speed/High

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

Keysight Technologies Signal Integrity Tips and Techniques Using TDR, VNA and Modeling

Keysight Technologies Signal Integrity Tips and Techniques Using TDR, VNA and Modeling Keysight Technologies Signal Integrity Tips and Techniques Using, VNA and Modeling Article Reprint This article first appeared in the March 216 edition of Microwave Journal. Reprinted with kind permission

More information

PI6C557-03AQ. PCIe 2.0 Clock Generator with 2 HCSL Outputs for Automotive Applications. Description. Features. Pin Configuration (16-Pin TSSOP)

PI6C557-03AQ. PCIe 2.0 Clock Generator with 2 HCSL Outputs for Automotive Applications. Description. Features. Pin Configuration (16-Pin TSSOP) PCIe.0 Clock Generator with HCSL Outputs for Automotive Applications Features ÎÎPCIe.0 compliant à à Phase jitter -.1ps RMS (typ) ÎÎLVDS compatible outputs ÎÎSupply voltage of 3.3V ±10% ÎÎ5MHz crystal

More information

EMI/EMC of Entire Automotive Vehicles and Critical PCB s. Makoto Suzuki Ansoft Corporation

EMI/EMC of Entire Automotive Vehicles and Critical PCB s. Makoto Suzuki Ansoft Corporation EMI/EMC of Entire Automotive Vehicles and Critical PCB s Makoto Suzuki Ansoft Corporation WT10_SI EMI/EMC of Entire Automotive Vehicles and Critical PCB s Akira Ohta, Toru Watanabe, Benson Wei Makoto Suzuki

More information

PI6C PCI Express Clock. Product Features. Description. Block Diagram. Pin Configuration

PI6C PCI Express Clock. Product Features. Description. Block Diagram. Pin Configuration Product Features ÎÎLVDS compatible outputs ÎÎSupply voltage of 3.3V ±10% ÎÎ5MHz input frequency ÎÎHCSL outputs, 0.7V Current mode differential pair ÎÎJitter 60ps cycle-to-cycle (typ) ÎÎSpread of ±0.5%,

More information

EUA W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit

EUA W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit 3-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION The EUA2011 is a high efficiency, 3W mono class-d audio power amplifier. A low noise, filterless PWM architecture eliminates the output filter,

More information

Using ICEM Model Expert to Predict TC1796 Conducted Emission

Using ICEM Model Expert to Predict TC1796 Conducted Emission Using ICEM Model Expert to Predict TC1796 Conducted Emission E. Sicard (1), L. Bouhouch (2) (1) INSA-GEI, 135 Av de Rangueil 31077 Toulouse France (2) ESTA Agadir, Morroco Contact : etienne.sicard@insa-toulouse.fr

More information

SIGNAL INTEGRITY AND RADIATION LEVELS: Assessed using RF Simulation Tool Framework

SIGNAL INTEGRITY AND RADIATION LEVELS: Assessed using RF Simulation Tool Framework SIGNAL INTEGRITY AND RADIATION LEVELS: Assessed using RF Simulation Tool Framework Nitisha Manchanda Vasikaran P Viswanathan B www.lnttechservices.com Table of Contents Preface 03 Introduction 03 SI &

More information

DesignCon Differential PCB Structures using Measured TRL Calibration and Simulated Structure De-Embedding

DesignCon Differential PCB Structures using Measured TRL Calibration and Simulated Structure De-Embedding DesignCon 2007 Differential PCB Structures using Measured TRL Calibration and Simulated Structure De-Embedding Heidi Barnes, Verigy, Inc. heidi.barnes@verigy.com Dr. Antonio Ciccomancini, CST of America,

More information

PI3HDMIxxx 4-Layer PCB Layout Guideline for HDMI Products

PI3HDMIxxx 4-Layer PCB Layout Guideline for HDMI Products PI3HDMIxxx 4-Layer PCB Layout Guideline for HDMI Products Introduction The differential trace impedance of HDMI is specified at 100Ω±15% in Test ID 8-8 in HDMI Compliance Test Specification Rev.1.2a and

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

ICS OSCILLATOR, MULTIPLIER, AND BUFFER WITH 8 OUTPUTS. Description. Features (all) Features (specific) DATASHEET

ICS OSCILLATOR, MULTIPLIER, AND BUFFER WITH 8 OUTPUTS. Description. Features (all) Features (specific) DATASHEET DATASHEET ICS552-01 Description The ICS552-01 produces 8 low-skew copies of the multiple input clock or fundamental, parallel-mode crystal. Unlike other clock drivers, these parts do not require a separate

More information

Logic Analyzer Probing Techniques for High-Speed Digital Systems

Logic Analyzer Probing Techniques for High-Speed Digital Systems DesignCon 2003 High-Performance System Design Conference Logic Analyzer Probing Techniques for High-Speed Digital Systems Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Constructing conducted emission models for integrated circuits

Constructing conducted emission models for integrated circuits Scholars' Mine Masters Theses Student Research & Creative Works Fall 2013 Constructing conducted emission models for integrated circuits Shuai Jin Follow this and additional works at: http://scholarsmine.mst.edu/masters_theses

More information

Design and Layout Guidelines for the CDCVF2505 Clock Driver

Design and Layout Guidelines for the CDCVF2505 Clock Driver Application Note SCAA045 - November 2000 Design and Layout Guidelines for the CDCVF2505 Clock Driver Kal Mustafa Bus Solutions ABSTRACT This application note describes tuning techniques, line termination

More information

Unleash SiC MOSFETs Extract the Best Performance

Unleash SiC MOSFETs Extract the Best Performance Unleash SiC MOSFETs Extract the Best Performance Xuning Zhang, Gin Sheh, Levi Gant and Sujit Banerjee Monolith Semiconductor Inc. 1 Outline SiC devices performance advantages Accurate test & measurement

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures Track 2 March 25, 2003 High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Author/Presenter: Brock LaMeres Hardware Design Engineer Objective

More information

Signal Integrity Tips and Techniques Using TDR, VNA and Modeling. Russ Kramer O.J. Danzy

Signal Integrity Tips and Techniques Using TDR, VNA and Modeling. Russ Kramer O.J. Danzy Signal Integrity Tips and Techniques Using TDR, VNA and Modeling Russ Kramer O.J. Danzy Simulation What is the Signal Integrity Challenge? Tx Rx Channel Asfiakhan Dreamstime.com - 3d People Communication

More information

Where Did My Signal Go?

Where Did My Signal Go? Where Did My Signal Go? A Discussion of Signal Loss Between the ATE and UUT Tushar Gohel Mil/Aero STG Teradyne, Inc. North Reading, MA, USA Tushar.gohel@teradyne.com Abstract Automatic Test Equipment (ATE)

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

W h i t e p a p e r. Authors. Engineer, E&SE - CoE, L&T Technology Services, Mysore. Engineer, E&SE - CoE, L&T Technology Services, Mysore

W h i t e p a p e r. Authors. Engineer, E&SE - CoE, L&T Technology Services, Mysore. Engineer, E&SE - CoE, L&T Technology Services, Mysore W h i t e p a p e r Preface This paper describes a novel method of assessing the possible factors affecting the performance of High Speed Digital Circuit Boards in terms of maintaining the Signal Integrity

More information

NOT RECOMMENDED FOR NEW DESIGNS. Features. Applications. Markets

NOT RECOMMENDED FOR NEW DESIGNS. Features. Applications. Markets NOT RECOMMENDED FOR NEW DESIGNS Low Voltage 1.2V/1.8V/2.5V CML 2x2 Crosspoint Switch 6.4Gbps with Equalization General Description The is a fully-differential, low-voltage 1.2V/1.8V/2.5V CML 2x2 crosspoint

More information

EMC Design Guidelines C4ISR EQUIPMENT & SYSTEMS

EMC Design Guidelines C4ISR EQUIPMENT & SYSTEMS EMC Design Guidelines C4ISR EQUIPMENT & SYSTEMS 1.1. SHIELDING Enclosed structure (equipment box or chassis in outside RF environment) should provide at least 100 db of RF shielding at 1 MHz, 40 db at

More information

BLOCK DIAGRAM. Functionality Table 1 details the differences between the parts to assist designers in selecting the optimal part for their design.

BLOCK DIAGRAM. Functionality Table 1 details the differences between the parts to assist designers in selecting the optimal part for their design. FEATURES LVPECL Outputs Optimized for Very Low Phase Noise (-165dBc/Hz) Up to 800MHz Bandwidth Selectable 1, 2 Output Selectable Enable Logic 3.0V to 3.6V Operation RoHS Compliant Pb Free Packages BLOCK

More information

Automotive PCB SI and PI analysis

Automotive PCB SI and PI analysis Automotive PCB SI and PI analysis SI PI Analysis Signal Integrity S-Parameter Timing analysis Eye diagram Power Integrity Loop / Partial inductance DC IR-Drop AC PDN Impedance Power Aware SI Signal Integrity

More information

ICS542 CLOCK DIVIDER. Features. Description. Block Diagram DATASHEET. NOTE: EOL for non-green parts to occur on 5/13/10 per PDN U-09-01

ICS542 CLOCK DIVIDER. Features. Description. Block Diagram DATASHEET. NOTE: EOL for non-green parts to occur on 5/13/10 per PDN U-09-01 DATASHEET ICS542 Description The ICS542 is cost effective way to produce a high-quality clock output divided from a clock input. The chip accepts a clock input up to 156 MHz at 3.3 V and produces a divide

More information

Chapter 16 PCB Layout and Stackup

Chapter 16 PCB Layout and Stackup Chapter 16 PCB Layout and Stackup Electromagnetic Compatibility Engineering by Henry W. Ott Foreword The PCB represents the physical implementation of the schematic. The proper design and layout of a printed

More information

HiPerClockS TM Application Note High Speed LVCMOS Driver Termination Design Guide

HiPerClockS TM Application Note High Speed LVCMOS Driver Termination Design Guide This application note provides general design guide for high speed LVCMOS driver termination. To handle high speed LVCMOS drivers, general rules for high-speed digital board design must be carefully followed.

More information

SP483E. Enhanced Low EMI Half-Duplex RS-485 Transceiver

SP483E. Enhanced Low EMI Half-Duplex RS-485 Transceiver SP483E Enhanced Low EMI Half-Duplex RS-485 Transceiver +5V Only Low Power BiCMOS Driver / Receiver Enable for Multi-Drop Configurations Enhanced ESD Specifications: +/-15kV Human Body Model +/-15kV IEC61000-4-2

More information

P R E F A C E The Focus of This Book xix

P R E F A C E The Focus of This Book xix P REFACE The Focus of This Book Power integrity is a confusing topic in the electronics industry partly because it is not well-defined and can encompass a wide range of problems, each with their own set

More information

Modelling electromagnetic field coupling from an ESD gun to an IC

Modelling electromagnetic field coupling from an ESD gun to an IC Modelling electromagnetic field coupling from an ESD gun to an IC Ji Zhang #1, Daryl G Beetner #2, Richard Moseley *3, Scott Herrin *4 and David Pommerenke #5 # EMC Laboratory, Missouri University of Science

More information

WD3119 WD3119. High Efficiency, 40V Step-Up White LED Driver. Descriptions. Features. Applications. Order information 3119 FCYW 3119 YYWW

WD3119 WD3119. High Efficiency, 40V Step-Up White LED Driver. Descriptions. Features. Applications. Order information 3119 FCYW 3119 YYWW High Efficiency, 40V Step-Up White LED Driver Http//:www.sh-willsemi.com Descriptions The is a constant current, high efficiency LED driver. Internal MOSFET can drive up to 10 white LEDs in series and

More information

Adjusting Signal Timing (Part 1)

Adjusting Signal Timing (Part 1) TECHNICAL PUBLICATION Adjusting Signal Timing (Part 1) Douglas Brooks, President UltraCAD Design, Inc. October 2003 www.mentor.com ABSTRACT It is becoming a routine requirement for PCB designers to tune

More information

Effective Routing of Multiple Loads

Effective Routing of Multiple Loads feature column BEYOND DESIGN Effective Routing of Multiple Loads by Barry Olney In a previous Beyond Design, Impedance Matching: Terminations, I discussed various termination strategies and concluded that

More information

Features VDD. PLL Clock Synthesis and Spread Spectrum Circuitry GND

Features VDD. PLL Clock Synthesis and Spread Spectrum Circuitry GND DATASHEET ICS7151 Description The ICS7151-10, -20, -40, and -50 are clock generators for EMI (Electro Magnetic Interference) reduction (see below for frequency ranges and multiplier ratios). Spectral peaks

More information

MK2703 PLL AUDIO CLOCK SYNTHESIZER. Description. Features. Block Diagram DATASHEET

MK2703 PLL AUDIO CLOCK SYNTHESIZER. Description. Features. Block Diagram DATASHEET DATASHEET MK2703 Description The MK2703 is a low-cost, low-jitter, high-performance PLL clock synthesizer designed to replace oscillators and PLL circuits in set-top box and multimedia systems. Using IDT

More information

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features DATASHEET 2 TO 4 DIFFERENTIAL CLOCK MUX ICS557-06 Description The ICS557-06 is a two to four differential clock mux designed for use in PCI-Express applications. The device selects one of the two differential

More information

TOP VIEW MAX9111 MAX9111

TOP VIEW MAX9111 MAX9111 19-1815; Rev 1; 3/09 EVALUATION KIT AVAILABLE Low-Jitter, 10-Port LVDS Repeater General Description The low-jitter, 10-port, low-voltage differential signaling (LVDS) repeater is designed for applications

More information

Lecture 2: Signals and Transmission Lines

Lecture 2: Signals and Transmission Lines Slide -1 Lecture 2: Signals and Transmission Lines Prof Eric Bogatin Signal Integrity Evangelist Teledyne LeCroy www.bethesignal.com Spring 2014 Jan 2014 Slide -2 Schedule 1. Jan 13: Welcome and intro

More information

Analysis on the Effectiveness of Clock Trace Termination Methods and Trace Lengths on a Printed Circuit Board

Analysis on the Effectiveness of Clock Trace Termination Methods and Trace Lengths on a Printed Circuit Board Analysis on the Effectiveness of Clock Trace Termination Methods and Trace Lengths on a Printed Circuit Board Mark I. Montrose Montrose Compliance Services 2353 Mission Glen Dr. Santa Clara, CA 95051-1214

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation June 7-10, 2009 San Diego, CA Optimization of Wafer Level Test Hardware using Signal Integrity Simulation Jason Mroczkowski Ryan Satrom Agenda Industry Drivers Wafer Scale Test Interface Simulation Simulation

More information

Nonlinear Full Wave Time Domain Solutions using FDTD_SPICE for High Speed Digital and RF

Nonlinear Full Wave Time Domain Solutions using FDTD_SPICE for High Speed Digital and RF Nonlinear Full Wave Time Domain Solutions using FDTD_SPICE for High Speed Digital and RF Neven Orhanovic Raj Raghuram Norio Matsui 1641 North First Street, Ste 170 San Jose, CA-95112 PH: 408-436-9070 FAX:

More information