Integrated Circuits and Systems

Size: px
Start display at page:

Download "Integrated Circuits and Systems"

Transcription

1 Integrated Circuits and Systems Series Editor Anantha Chandrakasan, Massachusetts Institute of Technology Cambridge, Massachusetts For other titles published in this series, go to

2 Yuan Xie Jason Cong Sachin Sapatnekar Editors Three-Dimensional Integrated Circuit Design EDA, Design and Microarchitectures 123

3 Editors Yuan Xie Department of Computer Science and Engineering Pennsylvania State University Jason Cong Department of Computer Science University of California, Los Angeles Sachin Sapatnekar Department of Electrical and Computer Engineering University of Minnesota ISBN e-isbn DOI / Springer New York Dordrecht Heidelberg London Library of Congress Control Number: Springer Science+Business Media, LLC 2010 All rights reserved. This work may not be translated or copied in whole or in part without the written permission of the publisher (Springer Science+Business Media, LLC, 233 Spring Street, New York, NY 10013, USA), except for brief excerpts in connection with reviews or scholarly analysis. Use in connection with any form of information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed is forbidden. The use in this publication of trade names, trademarks, service marks, and similar terms, even if they are not identified as such, is not to be taken as an expression of opinion as to whether or not they are subject to proprietary rights. Printed on acid-free paper Springer is part of Springer Science+Business Media (

4 Foreword We live in a time of great change. In the electronics world, the last several decades have seen unprecedented growth and advancement, described by Moore s law. This observation stated that transistor density in integrated circuits doubles every years. This came with the simultaneous improvement of individual device performance as well as the reduction of device power such that the total power of the resulting ICs remained under control. No trend remains constant forever, and this is unfortunately the case with Moore s law. The trouble began a number of years ago when CMOS devices were no longer able to proceed along the classical scaling trends. Key device parameters such as gate oxide thickness were simply no longer able to scale. As a result, device offstate currents began to creep up at an alarming rate. These continuing problems with classical scaling have led to a leveling off of IC clock speeds to the range of several GHz. Of course, chips can be clocked higher but the thermal issues become unmanageable. This has led to the recent trend toward microprocessors with multiple cores, each running at a few GHz at the most. The goal is to continue improving performance via parallelism by adding more and more cores instead of increasing speed. The challenge here is to ensure that general purpose codes can be efficiently parallelized. There is another potential solution to the problem of how to improve CMOS technology performance: three-dimensional integrated circuits (3D ICs). By moving to a technology with multiple active tiers in the vertical direction, a number of significant benefits can be realized. Global wires become much shorter, interconnect bandwidth can be greatly increased, and latencies can be significantly decreased. Large amounts of low-latency cache memory can be utilized and intelligent physical design can help mitigate thermal and power delivery hotspots. Three-dimensional IC technology offers a realistic path for maintaining the progress defined by Moore s Law without requiring classical scaling. This is a critical opportunity for the future. The Defense Advanced Research Project Agency (DARPA) has recognized the significance of 3D IC technology a number of years ago and began carefully targeted investments in this area based on the potential for military relevance and applications. There are also many potential commercial benefits from such a technology. The Microsystems Technology Office at DARPA has launched a number of 3D IC-based Programs in recent years targeting areas such as intelligent imagers, v

5 vi Foreword heterogeneously integrated 3D stacks, and digital performance enhancement. The research results in a number of the chapters in this book were made possible by DARPA-sponsored programs in the field of 3D IC. Three-dimensional IC technology is currently at an early stage, with several processes just becoming available and more in the early development stage. Still, its potential is so great that a dedicated community has already begun to seriously study the EDA, design, and architecture issues associated with 3D IC, which are well summarized in this book. Chapter 1 provides a good introduction to this field by an expert from IBM well versed in both design and technology aspects. Chapter 2 provides an excellent overview of key 3D IC technology issues by process technology researchersfrom IBM and can be beneficial to any designer or architect. Chapters 3 6 cover important 3D IC electronic design automation (EDA) issues by researchers from the University of California, Los Angeles and the University of Minnesota. Key issues covered in these chapters include methods for managing the thermal, electrical, and layout challenges of a multi-tier electronic stack during the modeling and physical design processes. Chapters 7 9 deal with 3D design issues, including the 3D processor design by authors from the Georgia Institute of Technology, a 3D network-on-chip (NoC) architecture by authors from Pennsylvania State University, and a 3D architectural approach to energy efficient server designs by authors from the University of Michigan and Intel. The book concludes with a system level analysis of the potential cost advantages of 3D IC technology by researchers at Pennsylvania State University. As I mentioned in the beginning we live at a time of great change. Such change can be viewed as frightening, as long-held assumptions and paradigms, such as Moore s Law, lose relevance. Challenging times are also important opportunities to try new ideas. Three-dimensional IC technology is such a new idea and this book will play an important and pioneering role in ushering in this new technology to the research community and the IC industry. DARPA Microsystems Technology Office Arlington, Virginia March 2009 Michael Fritze, Ph.D.

6 Preface To the observer, it would appear that New York city has a special place in the hearts of integrated circuit (IC) designers. Manhattan geometries, which mimic the blocks and streets of the eponymous borough, are routinely used in physical design: under this paradigm, all shapes can be decomposed into rectangles, and each wire is either parallel and perpendicular to any other. The advent of 3D circuits extends the analogy to another prominent feature of Manhattan namely, its skyscrapers as ICs are being built upward, with stacks of active devices placed on top of each other. More precisely, unlike conventional 2D IC technologies that employ a single tier with one layer of active devices and several layers of interconnect above this layer, 3D ICs stack multiple tiers above each other. This enables the enhanced use of silicon real estate and the use of efficient communication structures (analogous to elevators in a skyscraper) within a stack. Going from the prevalent 2D paradigm to 3D is certainly not a small step: in more ways than one, this change adds a new dimension to IC design. Three-dimensional design requires novel process and manufacturing technologies to reliably, scalably, and economically stack multiple tiers of circuitry, design methods from the circuit level to the architectural level to exploit the promise of 3D, and computer-aided design (CAD) techniques that facilitate circuit analysis and optimization at all stages of design. In the past few years, as process technologies for 3D have neared maturity and 3D circuits have become a reality, this field has seen a flurry of research effort. The objective of this book is to capture the current state of the art and to provide the readers with a comprehensive introduction to the underlying manufacturing technology, design methods, and computer-aided design (CAD) techniques. This collection consists of contributions from some of the most prominent research groups in this area, providing detailed insights into the challenges and opportunities of designing 3D circuits. The history of 3D circuits goes back many years, and some of its roots can be traced to a major government-funded program in Japan from a couple of decades ago. It is only in the past few years that the idea of 3D has gained major traction, so that it is considered a realistic option today. Today, most major players in the semiconductor industry have dedicated significant resources and effort to this area. As a result, 3D technology is at a stage where it is poised to make a major leap. The context and motivation for this technology are provided in Chapter 1. vii

7 viii Preface The domain of 3D circuits is diverse, and various 3D technologies available today provide a wide range of tradeoffs between cost and performance. These include silicon-carrier-like technologies with multiple dies mounted on a substrate, wafer stacking with intertier spacings of the order of hundreds of microns, and thinned die/wafer stacks with intertier distances of the order of ten microns. The former two have the advantage of providing compact packaging and higher levels of integration but often involve significant performance overheads in communications from one tier to another. The last, with small intertier distances, not only provides increased levels of integration but also facilitates new architectures that can actually improve significantly upon an equivalent 2D implementation. Such advanced technologies are the primary focus of this book, and a cutting-edge example within this class is described in detail in Chapter 2. In building 3D structures, there are significant issues that must be addressed by CAD tools and design techniques. The change from 2D to 3D is fundamentally topological, and therefore it is important to build floorplanning, placement, and routing tools for 3D chips. Moreover, 3D chips see a higher amount of current per unit footprint than their 2D counterparts, resulting in severe thermal and power delivery bottlenecks. Any physical design system for 3D must incorporate thermal considerations and must pay careful attention to constructing power delivery networks. All of these issues are addressed in Chapters 3 6. At the system level, 3D architectures can be used to build new architectures. For sensor chips, sensors may be placed in the top tier, with analog amplifier circuits in the next layer, and digital signal processing circuitry in the layers below: such ideas have been demonstrated at the concept or implementation level for image sensors and antenna arrays. For processor design, 3D architectures allow memories to be stacked above processors, allowing for fast communication between the two and thereby removing one of the most significant performance bottlenecks in such systems. Several system design examples are discussed in Chapters 7 9. Finally, Chapter 10 presents a methodology for cost analysis of 3D circuits. It is our hope that the book will provide the readers with a comprehensive view of the current state of 3D IC design and insights into the future of this technology. Sachin Sapatnekar

8 Contents 1 Introduction... 1 Kerry Bernstein 2 3D Process Technology Considerations Albert M. Young and Steven J. Koester 3 Thermal and Power Delivery Challenges in 3D ICs Pulkit Jain, Pingqiang Zhou, Chris H. Kim, and Sachin S. Sapatnekar 4 Thermal-Aware 3D Floorplan Jason Cong and Yuchun Ma 5 Thermal-Aware 3D Placement Jason Cong and Guojie Luo 6 Thermal Via Insertion and Thermally Aware Routing in 3D ICs Sachin S. Sapatnekar 7 Three-Dimensional Microprocessor Design Gabriel H. Loh 8 Three-Dimensional Network-on-Chip Architecture Yuan Xie, Narayanan Vijaykrishnan, and Chita Das 9 PicoServer: Using 3D Stacking Technology to Build Energy Efficient Servers Taeho Kgil, David Roberts, and Trevor Mudge 10 System-Level 3D IC Cost Analysis and Design Exploration Xiangyu Dong and Yuan Xie Index ix

9 Contributors Kerry Bernstein Applied Research Associates, Inc., Burlington, VT, Jason Cong Department of Computer Science, University of California; California NanoSystems Institute, Los Angeles, CA 90095, USA, Chita Das Pennsylvania State University, University Park, PA 16801, USA, Xiangyu Dong Pennsylvania State University, University Park, PA 16801, USA Pulkit Jain Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, MN 55455, USA, Taeho Kgil Intel, Hillsboro, OR 97124, USA, Chris H. Kim Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, MN 55455, USA, Steven J. Koester IBM Thomas J. Watson Research Center, Yorktown Heights, NY 10598, USA, Gabriel H. Loh College of Computing, Georgia Institute of Technology, Atlanta, GA, USA, Guojie Luo Department of Computer Science, University of California, Los Angeles, CA 90095, USA, Yuchun Ma Department of Computer Science and Technology, Tsinghua University, Beijing, P.R.China , Trevor Mudge University of Michigan, Ann Arbor, MI 48109, USA, David Roberts University of Michigan, Ann Arbor, MI 48109, USA, xi

10 xii Contributors Sachin S. Sapatnekar Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, MN 55455, USA, Narayanan Vijaykrishnan Pennsylvania State University, University Park, PA 16801, USA, Yuan Xie Pennsylvania State University, University Park, PA 16801, USA, Albert M. Young IBM Thomas J. Watson Research Center, Yorktown Heights, NY 10598, USA, Pingqiang Zhou Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, MN 55455, USA,

Variation Tolerant On-Chip Interconnects

Variation Tolerant On-Chip Interconnects Variation Tolerant On-Chip Interconnects ANALOG CIRCUITS AND SIGNAL PROCESSING Series Editors: Mohammed Ismail. The Ohio State University Mohamad Sawan. École Polytechnique de Montréal For further volumes:

More information

Nanoelectronic Circuit Design

Nanoelectronic Circuit Design Nanoelectronic Circuit Design Niraj K. Jha l Editors Deming Chen Nanoelectronic Circuit Design Editors Niraj K. Jha Department of Electrical Engineering Princeton University NJ, USA jha@princeton.edu

More information

Integrated Circuits and Systems

Integrated Circuits and Systems Integrated Circuits and Systems Series Editor Anantha P. Chandrakasan Massachusetts Institute of Technology Cambridge, Massachusetts For further volumes, go to http://www.springer.com/series/7236 Hoi-Jun

More information

Automated Multi-Camera Surveillance Algorithms and Practice

Automated Multi-Camera Surveillance Algorithms and Practice Automated Multi-Camera Surveillance Algorithms and Practice The International Series in Video Computing Series Editor: Mubarak Shah, Ph.D University of Central Florida Orlando, Florida Automated Multi-Camera

More information

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES LEAKAGE IN NANOMETER CMOS TECHNOLOGIES SERIES ON INTEGRATED CIRCUITS AND SYSTEMS Anantha Chandrakasan, Editor Massachusetts Institute of Technology Cambridge, Massachusetts, USA Published books in the

More information

Multiprocessor System-on-Chip

Multiprocessor System-on-Chip Multiprocessor System-on-Chip Michael Hübner l Editors Jürgen Becker Multiprocessor System-on-Chip Hardware Design and Tool Integration Editors Michael Hübner Karlsruhe Institute of Technology (KIT) Institut

More information

ADVANCED POWER RECTIFIER CONCEPTS

ADVANCED POWER RECTIFIER CONCEPTS ADVANCED POWER RECTIFIER CONCEPTS B. Jayant Baliga ADVANCED POWER RECTIFIER CONCEPTS B. Jayant Baliga Power Semiconductor Research Center North Carolina State University Raleigh, NC 27695-7924, USA bjbaliga@unity.ncsu.edu

More information

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi Dry Etching Technology for Semiconductors Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi Kazuo Nojiri Dry Etching Technology for Semiconductors Kazuo Nojiri Lam Research Co., Ltd. Tokyo,

More information

E E Verification and Control of Hybrid Systems

E E Verification and Control of Hybrid Systems E E Verification and Control of Hybrid Systems Paulo Tabuada Verification and Control of Hybrid Systems A Symbolic Approach Foreword by Rajeev Alur Paulo Tabuada Department of Electrical Engineering University

More information

CMOS Test and Evaluation

CMOS Test and Evaluation CMOS Test and Evaluation Manjul Bhushan Mark B. Ketchen CMOS Test and Evaluation A Physical Perspective Manjul Bhushan OctEval Hopewell Junction, NY, USA Mark B. Ketchen OcteVue Hadley, MA, USA ISBN 978-1-4939-1348-0

More information

Multisector Growth Models

Multisector Growth Models Multisector Growth Models Terry L. Roe Rodney B.W. Smith D. Şirin Saracoğlu Multisector Growth Models Theory and Application 123 Terry L. Roe Department of Applied Economics University of Minnesota 1994

More information

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems M.C. Bhuvaneswari Editor Application of Evolutionary Algorithms for Multi-objective Optimization in

More information

ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES

ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ANALOG CIRCUITS AND SIGNAL PROCESSING Consulting Editor Mohammed Ismail Ohio State University

More information

Minimizing Spurious Tones in Digital Delta-Sigma Modulators

Minimizing Spurious Tones in Digital Delta-Sigma Modulators Minimizing Spurious Tones in Digital Delta-Sigma Modulators ANALOG CIRCUITS AND SIGNAL PROCESSING Series Editors: Mohammed Ismail Mohamad Sawan For other titles published in this series, go to http://www.springer.com/series/7381

More information

3D Integration for VLSI Systems

3D Integration for VLSI Systems Published by Pan Stanford Publishing Pte. Ltd. Penthouse Level, Suntec Tower 3 8 Temasek Boulevard Singapore 038988 E-mail: editorial@panstanford.com Web: www.panstanford.com British Library Cataloguing-in-Publication

More information

SpringerBriefs in Electrical and Computer Engineering

SpringerBriefs in Electrical and Computer Engineering SpringerBriefs in Electrical and Computer Engineering More information about this series at http://www.springer.com/series/10059 David Fouto Nuno Paulino Design of Low Power and Low Area Passive Sigma

More information

The Economics of Information, Communication, and Entertainment

The Economics of Information, Communication, and Entertainment The Economics of Information, Communication, and Entertainment The Impacts of Digital Technology in the 21st Century Series Editor Darcy Gerbarg President, DVI, Ltd. Senior Fellow Columbia Institute for

More information

Lateral Flow Immunoassay

Lateral Flow Immunoassay Lateral Flow Immunoassay l Raphael C. Wong Editors Harley Y. Tse Lateral Flow Immunoassay 13 Editors Raphael C. Wong Branan Medical Corporation 10015 Muirlands Road Irvine, CA 92618 USA raphael@brananmedical.com

More information

ANALOG CIRCUITS AND SIGNAL PROCESSING

ANALOG CIRCUITS AND SIGNAL PROCESSING ANALOG CIRCUITS AND SIGNAL PROCESSING Series Editors Mohammed Ismail, The Ohio State University Mohamad Sawan, École Polytechnique de Montréal For further volumes: http://www.springer.com/series/7381 Yongjian

More information

High-Linearity CMOS. RF Front-End Circuits

High-Linearity CMOS. RF Front-End Circuits High-Linearity CMOS RF Front-End Circuits Yongwang Ding Ramesh Harjani iigh-linearity CMOS tf Front-End Circuits - Springer Library of Congress Cataloging-in-Publication Data A C.I.P. Catalogue record

More information

INDUSTRIAL ROBOTS PROGRAMMING: BUILDING APPLICATIONS FOR THE FACTORIES OF THE FUTURE

INDUSTRIAL ROBOTS PROGRAMMING: BUILDING APPLICATIONS FOR THE FACTORIES OF THE FUTURE INDUSTRIAL ROBOTS PROGRAMMING: BUILDING APPLICATIONS FOR THE FACTORIES OF THE FUTURE INDUSTRIAL ROBOTS PROGRAMMING: BUILDING APPLICATIONS FOR THE FACTORIES OF THE FUTURE J. Norberto Pires Mechanical Engineering

More information

A Practical Guide to Frozen Section Technique

A Practical Guide to Frozen Section Technique A Practical Guide to Frozen Section Technique Editor A Practical Guide to Frozen Section Technique Editor University of Medicine and Dentistry of New Jersey New Jersey Medical School Newark, NJ USA petepath@yahoo.com

More information

Mechanics Over Micro and Nano Scales

Mechanics Over Micro and Nano Scales Mechanics Over Micro and Nano Scales Suman Chakraborty Editor Mechanics Over Micro and Nano Scales 123 Editor Suman Chakraborty Department of Mechanical Engineering Indian Institute of Technology (IIT)

More information

HIGH-PERFORMANCE ENERGY-EFFICIENT MICROPROCESSOR DESIGN

HIGH-PERFORMANCE ENERGY-EFFICIENT MICROPROCESSOR DESIGN HIGH-PERFORMANCE ENERGY-EFFICIENT MICROPROCESSOR DESIGN SERIES ON INTEGRATED CIRCUITS AND SYSTEMS Anantha Chandrakasan, Editor Massachusetts Institute of Technology Cambridge, Massachusetts, USA Published

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER?

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? Contents Preface List of trademarks xi xv Introduction and Overview of the Book WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? WHO SHOULD CARE? DEFINITIONS: ASIC, CUSTOM, ETC. THE 35,000 FOOT VIEW: WHY IS CUSTOM

More information

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy?

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy? Through-Silicon-Via Inductor: Is it Real or Just A Fantasy? Umamaheswara Rao Tida 1 Cheng Zhuo 2 Yiyu Shi 1 1 ECE Department, Missouri University of Science and Technology 2 Intel Research, Hillsboro Outline

More information

Health Information Technology Standards. Series Editor: Tim Benson

Health Information Technology Standards. Series Editor: Tim Benson Health Information Technology Standards Series Editor: Tim Benson Tim Benson Principles of Health Interoperability HL7 and SNOMED Second Edition Tim Benson Abies Ltd Hermitage, Thatcham Berkshire UK ISBN

More information

CMOS Active Inductors and Transformers. Principle, Implementation, and Applications

CMOS Active Inductors and Transformers. Principle, Implementation, and Applications CMOS Active Inductors and Transformers Principle, Implementation, and Applications Fei Yuan CMOS Active Inductors and Transformers Principle, Implementation, and Applications Fei Yuan Department of Electrical

More information

The Astronaut s Cookbook

The Astronaut s Cookbook The Astronaut s Cookbook The Astronaut s Cookbook Tales, Recipes, and More By Charles T. Bourland and Gregory L. Vogt 13 Charles T. Bourland 1105 NE. 450 Road Osceola, MO, 64776 USA cbourlan@dishmail.net

More information

SpringerBriefs in Space Development

SpringerBriefs in Space Development SpringerBriefs in Space Development Guest Editor: Jinyuan Su More information about this series at http://www.springer.com/series/10058 Joseph N. Pelton New Solutions for the Space Debris Problem Joseph

More information

RF and Microwave Microelectronics Packaging II

RF and Microwave Microelectronics Packaging II RF and Microwave Microelectronics Packaging II Ken Kuang Rick Sturdivant Editors RF and Microwave Microelectronics Packaging II Editors Ken Kuang Torrey Hills Technologies, LLC San Diego, CA, USA Rick

More information

LOW POWER DESIGN METHODOLOGIES

LOW POWER DESIGN METHODOLOGIES LOW POWER DESIGN METHODOLOGIES LOW POWER DESIGN METHODOLOGIES edited by Jan M. Rabaey University Califomia and Massoud Pedram University of Southem Califomia SPRINGER SCIENCE+BUSINESS MEDIA, LLC ISBN 978-1-46

More information

On-chip Networks in Multi-core era

On-chip Networks in Multi-core era Friday, October 12th, 2012 On-chip Networks in Multi-core era Davide Zoni PhD Student email: zoni@elet.polimi.it webpage: home.dei.polimi.it/zoni Outline 2 Introduction Technology trends and challenges

More information

Current Technologies in Vehicular Communications

Current Technologies in Vehicular Communications Current Technologies in Vehicular Communications George Dimitrakopoulos George Bravos Current Technologies in Vehicular Communications George Dimitrakopoulos Department of Informatics and Telematics Harokopio

More information

Linear Electronic Circuits andsystems

Linear Electronic Circuits andsystems Linear Electronic Circuits andsystems Macmillan Basis Books in Electronics General Editor Noel M. Morris, Principal Lecturer, North Staffordshire Polytechnic Linear Electronic Circuits and Systems Graham

More information

ULTRA LOW POWER CAPACITIVE SENSOR INTERFACES

ULTRA LOW POWER CAPACITIVE SENSOR INTERFACES ULTRA LOW POWER CAPACITIVE SENSOR INTERFACES ANALOG CIRCUITS AND SIGNAL PROCESSING SERIES Consulting Editor: Mohammed Ismail. Ohio State University Titles in Series: ULTRA LOW POWER CAPACITIVE SENSOR INTERFACES

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 3 Ch.1 The Evolution of The Microprocessor 17-Feb-15 1 Chapter Objectives Introduce the microprocessor evolution from transistors to

More information

SpringerBriefs in Space Development

SpringerBriefs in Space Development SpringerBriefs in Space Development Series Editor: Joseph N. Pelton, Jr. For further volumes: http://www.springer.com/series/10058 Audrey L. Allison The ITU and Managing Satellite Orbital and Spectrum

More information

Synthetic Aperture Radar

Synthetic Aperture Radar Synthetic Aperture Radar J. Patrick Fitch Synthetic Aperture Radar C.S. Burrus, Consulting Editor With 93 Illustrations Springer-Verlag New York Berlin Heidelberg London Paris Tokyo J. Patrick Fitch Engineering

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Distributed Detection and Data Fusion

Distributed Detection and Data Fusion Distributed Detection and Data Fusion Springer Science+ Business Media, LLC Signal Processing and Data Fusion Synthetic Aperture Radar J.P. Fitch Multiplicative Complexity, Convolution and the DFT MT.

More information

Faster than Nyquist Signaling

Faster than Nyquist Signaling Faster than Nyquist Signaling Deepak Dasalukunte Viktor Öwall Fredrik Rusek John B. Anderson Faster than Nyquist Signaling Algorithms to Silicon 123 Deepak Dasalukunte Lantiq Bangalore, India Fredrik

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

The Monolithic Radio Frequency Array & the Coming Revolution of Convergence

The Monolithic Radio Frequency Array & the Coming Revolution of Convergence DARPATech, DARPA s 25 th Systems and Technology Symposium August 7, 2007 Anaheim, California Teleprompter Script for Dr. Mark Rosker, Program Manager, Microsystems Technology Office The Monolithic Radio

More information

Technology Roadmapping for Strategy and Innovation

Technology Roadmapping for Strategy and Innovation Technology Roadmapping for Strategy and Innovation Martin G. Moehrle, Ralf Isenmann, and Robert Phaal (Eds.) Technology Roadmapping for Strategy and Innovation Charting the Route to Success ABC Editors

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS with Case Studies by Marc Pastre Ecole Polytechnique Fédérale

More information

Statistics and Computing. Series Editors: J. Chambers D. Hand

Statistics and Computing. Series Editors: J. Chambers D. Hand Statistics and Computing Series Editors: J. Chambers D. Hand W. Härdle Statistics and Computing Brusco/Stahl: Branch-and-Bound Applications in Combinatorial Data Analysis. Dalgaard: Introductory Statistics

More information

Springer Series in Advanced Microelectronics 33

Springer Series in Advanced Microelectronics 33 Springer Series in Advanced Microelectronics 33 The Springer Series in Advanced Microelectronics provides systematic information on all the topics relevant for the design, processing, and manufacturing

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

Graduate Texts in Mathematics. Editorial Board. F. W. Gehring P. R. Halmos Managing Editor. c. C. Moore

Graduate Texts in Mathematics. Editorial Board. F. W. Gehring P. R. Halmos Managing Editor. c. C. Moore Graduate Texts in Mathematics 49 Editorial Board F. W. Gehring P. R. Halmos Managing Editor c. C. Moore K. W. Gruenberg A.J. Weir Linear Geometry 2nd Edition Springer Science+Business Media, LLC K. W.

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

Design for Innovative Value Towards a Sustainable Society

Design for Innovative Value Towards a Sustainable Society Design for Innovative Value Towards a Sustainable Society Mitsutaka Matsumoto Yasushi Umeda Keijiro Masui Shinichi Fukushige Editors Design for Innovative Value Towards a Sustainable Society Proceedings

More information

Advanced Decision Making for HVAC Engineers

Advanced Decision Making for HVAC Engineers Advanced Decision Making for HVAC Engineers Javad Khazaii Advanced Decision Making for HVAC Engineers Creating Energy Efficient Smart Buildings Javad Khazaii Engineering Department Kennesaw State University

More information

Learn Autodesk Inventor 2018 Basics

Learn Autodesk Inventor 2018 Basics Learn Autodesk Inventor 2018 Basics 3D Modeling, 2D Graphics, and Assembly Design T. Kishore Learn Autodesk Inventor 2018 Basics T. Kishore Hyderabad, India ISBN-13 (pbk): 978-1-4842-3224-8 ISBN-13 (electronic):

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

Broadband Networks, Smart Grids and Climate Change

Broadband Networks, Smart Grids and Climate Change Broadband Networks, Smart Grids and Climate Change Eli M. Noam Lorenzo Maria Pupillo Johann J. Kranz Editors Broadband Networks, Smart Grids and Climate Change Editors Eli M. Noam Columbia Business School

More information

Real-time Adaptive Concepts in Acoustics

Real-time Adaptive Concepts in Acoustics Real-time Adaptive Concepts in Acoustics Real-time Adaptive Concepts in Acoustics Blind Signal Separation and Multichannel Echo Cancellation by Daniel W.E. Schobben, Ph. D. Philips Research Laboratories

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

The European Heritage in Economics and the Social Sciences

The European Heritage in Economics and the Social Sciences The European Heritage in Economics and the Social Sciences Jürgen Georg Backhaus For other titles published in this series, go to www.springer.com/series/5902 Jürgen Georg Backhaus Editor The State as

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits MIT, Spring 2009 6.012 Microelectronic Devices and Circuits Charles G. Sodini Jing Kong Shaya Famini, Stephanie Hsu, Ming Tang Lecture 1 6.012 Overview Contents: Overview of 6.012 Reading Assignment: Howe

More information

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Handbook of Engineering Acoustics

Handbook of Engineering Acoustics Handbook of Engineering Acoustics . Gerhard M uller Michael M oser Editors Handbook of Engineering Acoustics Editors Prof. Dr. Gerhard M uller Technische Universit at M unchen Lehrstuhl f ur Baumechanik

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

Physical Oceanography. Developments Since 1950

Physical Oceanography. Developments Since 1950 Physical Oceanography Developments Since 1950 Physical Oceanography Developments Since 1950 Edited by Markus Jochum National Center for Atmospheric Research Boulder, Colorado, USA and Raghu Murtugudde

More information

Automotive Painting Technology

Automotive Painting Technology Automotive Painting Technology Kimio Toda Abraham Salazar Kozo Saito Editors Automotive Painting Technology A Monozukuri-Hitozukuri Perspective 1 3 Editors Mr. Kimio Toda Asahi Sunac Corporation Owariasahi,

More information

K-Best Decoders for 5G+ Wireless Communication

K-Best Decoders for 5G+ Wireless Communication K-Best Decoders for 5G+ Wireless Communication Mehnaz Rahman Gwan S. Choi K-Best Decoders for 5G+ Wireless Communication Mehnaz Rahman Department of Electrical and Computer Engineering Texas A&M University

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Adaptive Techniques for Dynamic Processor Optimization. Theory and Practice

Adaptive Techniques for Dynamic Processor Optimization. Theory and Practice Adaptive Techniques for Dynamic Processor Optimization Theory and Practice Series on Integrated Circuits and Systems Series Editor: Anantha Chandrakasan Massachusetts Institute of Technology Cambridge,

More information

INTEGRATED AUDIO AMPLIFIERS IN BCD TECHNOLOGY

INTEGRATED AUDIO AMPLIFIERS IN BCD TECHNOLOGY INTEGRATED AUDIO AMPLIFIERS IN BCD TECHNOLOGY INTEGRATED AUDIO AMPLIFIERS IN BCD TECHNOLOGY by Marco Berkhout MESA Research Institute, University of Twente, and Philips Semiconductors " ~ Springer Science+Business

More information

Time-interleaved Analog-to-Digital Converters

Time-interleaved Analog-to-Digital Converters Time-interleaved Analog-to-Digital Converters ANALOG CIRCUITS AND SIGNAL PROCESSING SERIES Consulting Editor: Mohammed Ismail. Ohio State University For other titles published in this series, go to www.springer.com/series/7381

More information

The European Heritage in Economics and the Social Sciences

The European Heritage in Economics and the Social Sciences Homo Oeconomicus The European Heritage in Economics and the Social Sciences Edited by: Jürgen G. Backhaus University of Erfurt Frank H. Stephen University of Manchester Volume 1 Joseph Alois Schumpeter

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

Building Arduino PLCs

Building Arduino PLCs Building Arduino PLCs The essential techniques you need to develop Arduino-based PLCs Pradeeka Seneviratne Building Arduino PLCs: The essential techniques you need to develop Arduino-based PLCs Pradeeka

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS -Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS Jiajun Shi, Mingyu Li and Csaba Andras Moritz Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA,

More information

An Array-Based Circuit for Characterizing Latent Plasma-Induced Damage

An Array-Based Circuit for Characterizing Latent Plasma-Induced Damage An Array-Based Circuit for Characterizing Latent Plasma-Induced Damage Won Ho Choi, Pulkit Jain and Chris H. Kim University of Minnesota, Minneapolis, MN choi0444@umn.edu www.umn.edu/~chriskim/ Purpose

More information

Analog Circuits and Signal Processing. Series Editors Mohammed Ismail, Dublin, USA Mohamad Sawan, Montreal, Canada

Analog Circuits and Signal Processing. Series Editors Mohammed Ismail, Dublin, USA Mohamad Sawan, Montreal, Canada Analog Circuits and Signal Processing Series Editors Mohammed Ismail, Dublin, USA Mohamad Sawan, Montreal, Canada More information about this series at http://www.springer.com/series/7381 Marco Vigilante

More information

DO NOT COPY DO NOT COPY

DO NOT COPY DO NOT COPY 18 Chapter 1 Introduction 1.9 Printed-Circuit oards printed-circuit board n IC is normally mounted on a printed-circuit board (PC) [or printed-wiring (PC) board (PW)] that connects it to other ICs in a

More information

Wireless In Vivo Communications and Networking

Wireless In Vivo Communications and Networking Wireless In Vivo Communications and Networking Richard D. Gitlin Minimally Invasive Surgery Wirelessly networked modules Modeling the in vivo communications channel Motivation: Wireless communications

More information

Deep Trench Capacitors for Switched Capacitor Voltage Converters

Deep Trench Capacitors for Switched Capacitor Voltage Converters Deep Trench Capacitors for Switched Capacitor Voltage Converters Jae-sun Seo, Albert Young, Robert Montoye, Leland Chang IBM T. J. Watson Research Center 3 rd International Workshop for Power Supply on

More information

NO MORE MUDDLING THROUGH

NO MORE MUDDLING THROUGH NO MORE MUDDLING THROUGH No More Muddling Through Mastering Complex Projects in Engineering and Management by RAINER ZÜST Zürich, Switzerland and PETER TROXLER Rotterdam, The Netherlands A C.I.P. Catalogue

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Radiolocation in Ubiquitous Wireless Communication

Radiolocation in Ubiquitous Wireless Communication Radiolocation in Ubiquitous Wireless Communication Danko Antolovic Radiolocation in Ubiquitous Wireless Communication ABC Danko Antolovic Indiana University University Information Technology Services 2711

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

SpringerBriefs in Electrical and Computer Engineering

SpringerBriefs in Electrical and Computer Engineering SpringerBriefs in Electrical and Computer Engineering For further volumes: http://www.springer.com/series/10059 Vikram Arkalgud Chandrasetty VLSI Design A Practical Guide for FPGA and ASIC Implementations

More information

Ultra-Wideband Radio Frequency Identification Systems

Ultra-Wideband Radio Frequency Identification Systems Ultra-Wideband Radio Frequency Identification Systems wwwwwwwwwwwwwwww Faranak Nekoogar Farid Dowla Ultra-Wideband Radio Frequency Identification Systems Faranak Nekoogar Lawrence Livermore National Laboratory

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

EUROSOI+- FP of 38 30/06/ FINAL PUBLISHABLE SUMMARY REPORT

EUROSOI+- FP of 38 30/06/ FINAL PUBLISHABLE SUMMARY REPORT EUROSOI+- FP7-216373 3 of 38 30/06/2011 1. FINAL PUBLISHABLE SUMMARY REPORT EUROSOI+- FP7-216373 4 of 38 30/06/2011 EUROSOI+- FP7-216373 5 of 38 30/06/2011 The main and last objective of EUROSOI Network

More information

Dao Companion to the Analects

Dao Companion to the Analects Dao Companion to the Analects Dao Companions to Chinese Philosophy Series Editor HUANG Yong Department of Philosophy The Chinese University of Hong Kong Shatin, New Territories Hong Kong E-mail: yonghuang@cuhk.edu.hk

More information

Fiber Optics Engineering

Fiber Optics Engineering Fiber Optics Engineering For further volumes: http://www.springer.com/series/6976 Optical Networks Series Editor: Biswanath Mukherjee University of California, Davis Davis, CA Mohammad Azadeh Fiber Optics

More information

Founding Editor Martin Campbell-Kelly, University of Warwick, Coventry, UK

Founding Editor Martin Campbell-Kelly, University of Warwick, Coventry, UK History of Computing Founding Editor Martin Campbell-Kelly, University of Warwick, Coventry, UK Series Editor Gerard Alberts, University of Amsterdam, Amsterdam, The Netherlands Advisory Board Jack Copeland,

More information

PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRATION

PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRATION PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRATION PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRA TION Christina Manolatou Massachusetts Institute oftechnology Hermann A. Haus Massachusetts Institute oftechnology

More information