Medii de proiectare VLSI LABORATOR 8 Afişaj multiplexat

Size: px
Start display at page:

Download "Medii de proiectare VLSI LABORATOR 8 Afişaj multiplexat"

Transcription

1 SCOPUL LUCRĂRII Medii de proiectare VLSI LABORATOR 8 Afişaj multiplexat Se cere proiectarea unui multipol logic care să permită afişarea unui număr reprezentat pe 16 biţi pe afişoarele 7-segmente al plăcii SPARTAN 3. În prima etapă numărul de afişat, notat în continuare cu DAT, se va obţine prin concatenarea valorii date de comutatoarele SW(7:0) cu ea însăşi. Dispunem de un display cu 4 afişoare, conform figurii 3-1 de la pagina 17 din manualul plăcii Spartan 3. Acesta va fi reprezentat în continuare ca în figura 1: figura 1 Toate cele 4 afişoare au conectate intern terminalele de segmente împreună. Un afişor îşi va aprinde segmentele conform informaţiei de pe terminalele a, b,...,g, DP, numai dacă este alimentat. Pentru a fi alimentat terminalul AN i trebuie să fie 0. Dacă toate terminalele ar fi active în acelaşi timp, toate afişoarele ar afişa aceeaşi informaţie. Evident, acest lucru nu ar fi util. Idea afişajului multiplexat în timp este următoarea: trimitem informaţia ce vrem să fie afişată de afişorul 0 pe terminalele a-g. Această informaţia va fi afişată numai de afişoarele validate. Validăm numai afişorul 0 trimiţând pe terminalele AN(4:0) combinaţia Apoi trimitem informaţia pentru al doilea afişor şi îl alimentăm numai pe acesta. Pentru a aprinde numai al doilea afişor trimitem pe terminalele AN(4:0)combinaţia Pentru al treilea afişor trimitem 1011 iar pentru al patrulea, După ce aprindem ultimul afişor, procesul se reia. Acest proces se numeşte baleiere. Dacă baleierea afişoarelor se face, repede ochiul nu mai percepe că afişoarele se aprind pe rând. Astfel se creează senzaţia că toate afişoarele sunt aprinse simultan. Pentru ca afişarea multiplexată să funcţioneze corect este necesar ca: Baleierea afişoarelor să nu se oprească niciodată. În caz contrar se va vedea un singur afişor aprins. Să nu apară senzaţia de pâlpâire. Pentru ca display-ul să nu pâlpâie este necesară baleierea acestuia de cel puţin 50 de ori pe secundă. Dacă f baleiaj =50Hz, rezultă T baleiaj =20ms. Frecvenţa maximă de baleiere nu poate să fie prea mare, deoarece LED-urile din afişor nu ar avea suficient timp să comute. Se recomandă o perioadă maximă de baleiere 100 de ori pe secundă (10 ms). Afişoarele să stea aprinse acelaşi intervale de timp egale. Dacă nu se respectă această condiţie, afişoarele care stau aprinse mai mult vor părea mai aprinse decât celelalte 1

2 Pentru a implementa această idee, avem nevoie un de decodificator 7 segmente. Implementarea decodificatorului 7 segmente este descrisă în laboratorul 2, pagina 10 şi în Biblioteca de modele (Language Templates), aşa cum a fost explicat în laboratorul 5, pagina 10. Ieşirile acestuia se conectează la pinii FPGA care sunt conectaţi la terminalele a-g, ca în figura 2: figura 2 Cifra hexazecimală Dgt (Dgt este abrevierea luit Digit) care ajunge pe pinii HEX ai decodificatorului este obţinută prin multiplexarea intrării DAT prin intermediul unui multiplexor vectorial MUX4V4 (MUX4 de vectori de 4 biţi), ca în figura 3: figura 3 Afişorul care se aprinde, este activat prin intermediul unui decodificator cu 4 ieşiri (DEC4) conform figurii 4: 2

3 figura 4 Decodificatorul DEC4 este un circuit cu intrarea S(1:0) şi ieşirea Y(3:0). Decodificatorul activează un singur element din vectorul de ieşire, toate celelalte fiind inactive. Activ înseamnă 0 iar inactiv, 1. Indicele elementului activ este dat de vectorul de selecţie S. Mai exact, pentru i=0..3, Y(i)= 0 dacă i=s şi Y(i)= 1 dacă i S. Această regulă generează următoarea tabelă de adevăr: S(1) S(0) Y(0) Y(1) Y(2) Y(3) Descrieţi decodificatorul fie cu selected signal assignment (asemănător cu hexdec) sau cu process şi for loop (dacă porniți de la regulă). Conform teoriei afişajului multiplexat, selecţia DEC4 şi a MUX4V4 sunt comune şi sunt conectate la ieşirile unui numărător modulo 2 n (vezi prelegere 7, începând cu pagina 4). Ceasul extern are frecvenţa de 50 MHz (perioada este de 20 ns). Aşa cum s-a arătat anterior, întregul afişaj trebuie baleiat într-o perioadă de ms (t baleiaj ). In intervalul de timp t baleiaj biţii numărătorul folosiţi pentru selecţia MUX-ului şi a DEC-ului trebuie să execute un ciclu complet: Vom nota aceşti doi biţi x, respectiv x-1. Se observă că bitul x execută un ciclu complet în t baleiaj, deci are perioada chiar t baleiaj. Se ştie că ieşirile unui numărător binar divizează cu puterile lui 2 frecvenţa ceasului său de intrare (sau înmulțește cu puterile lui 2 perioada ceasului de intrare). Dacă t baleiaj =10 20 ms, cât este x astfel ca 0 ms < 20 ns * 2 x < 20 ms? Atenţie: numărătoarea biţilor începe de la unu! Va trebui să descrieţi un numărător binar pe x biţi! Cei doi biţi cei mai semnificativi ai numărătorului sunt folosiţi ca selecție pentru DEC şi MUX, ca în figura 5. 3

4 figura 5 Desfăşurarea lucrării Pasul 1: Crearea proiectului. Se face conform metodologiei prezentate anterior. Proiectul se va numi DispMux şi va fi de tip HDL. Pasul 2: Crearea fişierului VHDL care va conţine descrierea multipolului. Numele fişierului va fi DispMux şi va avea următorul schelet: entity DispMux is Port ( iclk : IN std_logic; iak : IN std_logic_vector(7 downto 0); --Comutatoare oaan : OUT std_logic_vector(3 downto 0); --Anozi oaseg : OUT std_logic_vector(6 downto 0) --Segmente ); end DispMux; architecture Behavioral of DispMux is signal sadat : std_logic_vector(15 downto 0); signal saq_cnt : std_logic_vector(?? downto 1):=(others =>'0'); signal sadgt : std_logic_vector(3 downto 0); begin sadat <=iak & iak;... Vectorul saq_cnt este ieşirea numărătorului. Cei mai semnificativi doi biţi ai numărătorului se conectează atât la intrările de selecţie ale MUX-ului cât şi ale DEC-ului. Descrieţi numărătorul conform cursului. Nu uitaţi iniţializarea lui saq_cnt în declaraţie! 4

5 În continuare se va descrie blocul afişor. Ordinea în care se face descrierea trebuie să fie de aşa natură încât, pe cât posibil, noile descrieri să se facă pe baza semnalelor deja descrise. Din acest motiv ordinea recomandată este: numărător, DEC4, MUX4V4, HEXDEC. Decodificatorul, MUX-ul şi decodificatorul 7 segmente au fost tratate în laboratoarele anterioare sau în curs după cum urmează: MUX-ul este descris în laboratorul 2, pagina 11. Codul pentru decodificatorului 7 segmente se găseşte în Biblioteca de modele (Language Templates), aşa cum a fost explicat în laboratorul 5, pagina 10. Copiaţi-l şi modificaţi-l! Dacă este nevoie, consultaţi documentele enumerate mai sus. Se verifică sintaxa cu Check sintax iar apoi se face sinteza. Pasul 3: Crearea fişierului de constrângeri şi implementarea pe placa S3. Mai întâi, se specifică perioada ceasului, selectând Create Timing Constraints, conform figurii din dreapta, jos. Va apare fereastra aferentă editorului de constrângeri de timp. Selectaţi câmpul tab Global. Deoarece ceasul extern are frecvenţa de 50MHz, vom specifica o perioada de 1/50MHz = 20 ns. În câmpul period se va introduce 20 iar apoi se va apăsa ENTER, fără să se specifica ns. Veţi obține situaţia din figura 6. În această fază NU specificaţi asignarea pinilor. figura 6 Apoi se va face implementarea şi se va verifica dacă implementarea a reuşit să îndeplinească constrângerea de ceas. Pentru aceasta se vizualizează raportul de rutare: dublu click pe Place & Route Report din figura 7 şi în dreapta va apare raportul de rutare. Căutaţi în raport constrângerea asupra lui CLK şi verificaţi că a fost îndeplinită. Dacă nu, chemaţi profesorul! Atenţie:orice valoare mai mică de 20 ns este bună! 5

6 figura 7 Pasul 4: Simularea Se adaugă la proiect o nouă sursă de tip test bench waveform. Deoarece proiectul are elemente comutabile pe front, setările pentru ceas se vor face ca în figura 8. Apoi se apasă Finish. Se vor obţine stimuli din figura 9. figura 8 figura 9 Setaţi valoarea lui iak la 12h. Alegeţi Simulate Behavioral Model, faceți click dreapta (proprietăți) şi setați câmpul Simulation run Time la valoarea 20 ms, apoi faceţi simularea. 6

7 figura 10 Dacă un baleiaj complet al afişorului se face în ms, ca în figura 10, chemaţi profesorul. Dacă simularea a fost validată de profesor, aveţi nota 5! Pasul 5: Implementare pe placa S3 Se specifică pinii pentru clk, comutatoare, anozi şi segmente, conform laboratoarelor precedente. Atenţie: pinul pentru semnalul de ceas este T9. Verificaţi în Pad Report dacă semnalele au fost rutate pe pinii FPGA-ului conform fişierului de constrângeri. Trimiteţi codul în placă pentru a verifica funcţionarea în mediu real. Dacă funcţionează, aveţi nota 7. Pasul 6: Afişarea în hexa a numerelor Fibonacci În loc de cele 8 comutatoarele K dublate, se for folosi numai 5 comutatoare. Valoarea dată de aceste comutatoare este interpretată ca un număr n. Să se afişeze al n-elea număr Fibonacci în hexazecimal (n=1..24). n= 0 fibo(0)= 0000 n= 1 fibo(1)= 0001 n= 2 fibo(2)= 0001 n= 3 fibo(3)= 0002 n= 4 fibo(4)= Dacă funcţionează, aveţi nota 10! 7

Structura și Organizarea Calculatoarelor. Titular: BĂRBULESCU Lucian-Florentin

Structura și Organizarea Calculatoarelor. Titular: BĂRBULESCU Lucian-Florentin Structura și Organizarea Calculatoarelor Titular: BĂRBULESCU Lucian-Florentin Chapter 3 ADUNAREA ȘI SCĂDEREA NUMERELOR BINARE CU SEMN CONȚINUT Adunarea FXP în cod direct Sumator FXP în cod direct Scăderea

More information

Titlul lucrării propuse pentru participarea la concursul pe tema securității informatice

Titlul lucrării propuse pentru participarea la concursul pe tema securității informatice Titlul lucrării propuse pentru participarea la concursul pe tema securității informatice "Îmbunătăţirea proceselor şi activităţilor educaţionale în cadrul programelor de licenţă şi masterat în domeniul

More information

Circuite Logice Programabile LABORATOR 1

Circuite Logice Programabile LABORATOR 1 Circuite Logice Programabile LABORATOR 1 INTRODUCERE ÎN MEDIUL INTEGRAT XILINX ISE. PROIECTAREA UNUI SUMATOR PE UN BIT INTRODUCERE Softwarele CAD (Computer Aided Design) de proiectare cu circuite logice

More information

2. Setări configurare acces la o cameră web conectată într-un router ZTE H218N sau H298N

2. Setări configurare acces la o cameră web conectată într-un router ZTE H218N sau H298N Pentru a putea vizualiza imaginile unei camere web IP conectată într-un router ZTE H218N sau H298N, este necesară activarea serviciului Dinamic DNS oferit de RCS&RDS, precum și efectuarea unor setări pe

More information

Metrici LPR interfatare cu Barix Barionet 50 -

Metrici LPR interfatare cu Barix Barionet 50 - Metrici LPR interfatare cu Barix Barionet 50 - Barionet 50 este un lan controller produs de Barix, care poate fi folosit in combinatie cu Metrici LPR, pentru a deschide bariera atunci cand un numar de

More information

Subiecte Clasa a VI-a

Subiecte Clasa a VI-a (40 de intrebari) Puteti folosi spatiile goale ca ciorna. Nu este de ajuns sa alegeti raspunsul corect pe brosura de subiecte, ele trebuie completate pe foaia de raspuns in dreptul numarului intrebarii

More information

Textul si imaginile din acest document sunt licentiate. Codul sursa din acest document este licentiat. Attribution-NonCommercial-NoDerivs CC BY-NC-ND

Textul si imaginile din acest document sunt licentiate. Codul sursa din acest document este licentiat. Attribution-NonCommercial-NoDerivs CC BY-NC-ND Textul si imaginile din acest document sunt licentiate Attribution-NonCommercial-NoDerivs CC BY-NC-ND Codul sursa din acest document este licentiat Public-Domain Esti liber sa distribui acest document

More information

Reflexia şi refracţia luminii. Aplicaţii. Valerica Baban

Reflexia şi refracţia luminii. Aplicaţii. Valerica Baban Reflexia şi refracţia luminii. Aplicaţii. Sumar 1. Indicele de refracţie al unui mediu 2. Reflexia şi refracţia luminii. Legi. 3. Reflexia totală 4. Oglinda plană 5. Reflexia şi refracţia luminii în natură

More information

Ghid identificare versiune AWP, instalare AWP şi verificare importare certificat în Store-ul de Windows

Ghid identificare versiune AWP, instalare AWP şi verificare importare certificat în Store-ul de Windows Ghid identificare versiune AWP, instalare AWP 4.5.4 şi verificare importare certificat în Store-ul de Windows Data: 28.11.14 Versiune: V1.1 Nume fişiser: Ghid identificare versiune AWP, instalare AWP 4-5-4

More information

Update firmware aparat foto

Update firmware aparat foto Update firmware aparat foto Mulţumim că aţi ales un produs Nikon. Acest ghid descrie cum să efectuaţi acest update de firmware. Dacă nu aveţi încredere că puteţi realiza acest update cu succes, acesta

More information

Versionare - GIT ALIN ZAMFIROIU

Versionare - GIT ALIN ZAMFIROIU Versionare - GIT ALIN ZAMFIROIU Controlul versiunilor - necesitate Caracterul colaborativ al proiectelor; Backup pentru codul scris Istoricul modificarilor Terminologie și concepte VCS Version Control

More information

Laborator 07. Procesorul MIPS versiune pe 16 biți, cu un ciclu de ceas pe instrucțiune

Laborator 07. Procesorul MIPS versiune pe 16 biți, cu un ciclu de ceas pe instrucțiune Laborator 07 Procesorul MIPS versiune pe 16 biți, cu un ciclu de ceas pe instrucțiune Unitatea de Instruction Execute EX / Unitatea de Memorie MEM / Unitatea Write-Back WB 0. Resurse minimale necesare!

More information

La fereastra de autentificare trebuie executati urmatorii pasi: 1. Introduceti urmatoarele date: Utilizator: - <numarul dvs de carnet> (ex: "9",

La fereastra de autentificare trebuie executati urmatorii pasi: 1. Introduceti urmatoarele date: Utilizator: - <numarul dvs de carnet> (ex: 9, La fereastra de autentificare trebuie executati urmatorii pasi: 1. Introduceti urmatoarele date: Utilizator: - (ex: "9", "125", 1573" - se va scrie fara ghilimele) Parola: -

More information

Auditul financiar la IMM-uri: de la limitare la oportunitate

Auditul financiar la IMM-uri: de la limitare la oportunitate Auditul financiar la IMM-uri: de la limitare la oportunitate 3 noiembrie 2017 Clemente Kiss KPMG in Romania Agenda Ce este un audit la un IMM? Comparatie: audit/revizuire/compilare Diferente: audit/revizuire/compilare

More information

Semnale şi sisteme. Facultatea de Electronică şi Telecomunicaţii Departamentul de Comunicaţii (TC)

Semnale şi sisteme. Facultatea de Electronică şi Telecomunicaţii Departamentul de Comunicaţii (TC) Semnale şi sisteme Facultatea de Electronică şi Telecomunicaţii Departamentul de Comunicaţii (TC) http://shannon.etc.upt.ro/teaching/ssist/ 1 OBIECTIVELE CURSULUI Disciplina îşi propune să familiarizeze

More information

PROIECTAREA UNUI CONTROLER DE TRAFIC. CREAREA PROIECTELOR MIXTE

PROIECTAREA UNUI CONTROLER DE TRAFIC. CREAREA PROIECTELOR MIXTE Circuite Logice Programabile LABORATOR 7 8 PROIECTAREA UNUI CONTROLER DE TRAFIC. CREAREA PROIECTELOR MIXTE SCOPUL LUCRĂRII În această lucrare se va proiecta un controler pentru un semafor care va coordona

More information

ARBORI AVL. (denumiti dupa Adelson-Velskii si Landis, 1962)

ARBORI AVL. (denumiti dupa Adelson-Velskii si Landis, 1962) ARBORI AVL (denumiti dupa Adelson-Velskii si Landis, 1962) Georgy Maximovich Adelson-Velsky (Russian: Гео ргий Макси мович Адельсо н- Ве льский; name is sometimes transliterated as Georgii Adelson-Velskii)

More information

Olimpiad«Estonia, 2003

Olimpiad«Estonia, 2003 Problema s«pt«m nii 128 a) Dintr-o tabl«p«trat«(2n + 1) (2n + 1) se ndep«rteaz«p«tr«telul din centru. Pentru ce valori ale lui n se poate pava suprafata r«mas«cu dale L precum cele din figura de mai jos?

More information

APLICAŢIA 7 CIRCUITE SECVENŢIALE REGISTRUL CU ÎNCĂRCARE PARALELĂ

APLICAŢIA 7 CIRCUITE SECVENŢIALE REGISTRUL CU ÎNCĂRCARE PARALELĂ APLICAŢIA 7 CIRCUITE SECVENŢIALE REGISTRUL CU ÎNCĂRCARE PARALELĂ 1. Rezumat Acest laborator își propune implementarea unui cicuit secvențial simplu: registrul pe 4 biți cu încărcare paralelă. Pentru aceasta

More information

Modalitǎţi de clasificare a datelor cantitative

Modalitǎţi de clasificare a datelor cantitative Modalitǎţi de clasificare a datelor cantitative Modul de stabilire a claselor determinarea pragurilor minime şi maxime ale fiecǎrei clase - determinǎ modul în care sunt atribuite valorile fiecǎrei clase

More information

Procesarea Imaginilor

Procesarea Imaginilor Procesarea Imaginilor Curs 11 Extragerea informańiei 3D prin stereoviziune Principiile Stereoviziunii Pentru observarea lumii reale avem nevoie de informańie 3D Într-o imagine avem doar două dimensiuni

More information

.. REGISTRE Registrele sunt circuite logice secvenţiale care primesc, stochează şi transferă informaţii sub formă binară. Un registru este format din mai multe celule bistabile de tip RS, JK sau D şi permite

More information

DESCRIEREA ÎN VHDL A CIRCUITELOR SECVENȚIALE. DEFINIREA CONSTRÂNGERILOR DE TIMP

DESCRIEREA ÎN VHDL A CIRCUITELOR SECVENȚIALE. DEFINIREA CONSTRÂNGERILOR DE TIMP Circuite Logice Programabile LABORATOR 4 DESCRIEREA ÎN VHDL A CIRCUITELOR SECVENȚIALE. DEFINIREA CONSTRÂNGERILOR DE TIMP SCOPUL LUCRĂRII Logica secvențială este termenul generic folosit pentru proiectele

More information

Constructii sintetizabile in verilog

Constructii sintetizabile in verilog Constructii sintetizabile in verilog Introducere Programele verilog se împart în două categorii: cod pentru simulare și cod sintetizabil. Codul scris pentru simulare (testul) nu este sintetizabil. Codul

More information

D în această ordine a.î. AB 4 cm, AC 10 cm, BD 15cm

D în această ordine a.î. AB 4 cm, AC 10 cm, BD 15cm Preparatory Problems 1Se dau punctele coliniare A, B, C, D în această ordine aî AB 4 cm, AC cm, BD 15cm a) calculați lungimile segmentelor BC, CD, AD b) determinați distanța dintre mijloacele segmentelor

More information

GHID DE TERMENI MEDIA

GHID DE TERMENI MEDIA GHID DE TERMENI MEDIA Definitii si explicatii 1. Target Group si Universe Target Group - grupul demografic care a fost identificat ca fiind grupul cheie de consumatori ai unui brand. Toate activitatile

More information

Arbori. Figura 1. struct ANOD { int val; ANOD* st; ANOD* dr; }; #include <stdio.h> #include <conio.h> struct ANOD { int val; ANOD* st; ANOD* dr; }

Arbori. Figura 1. struct ANOD { int val; ANOD* st; ANOD* dr; }; #include <stdio.h> #include <conio.h> struct ANOD { int val; ANOD* st; ANOD* dr; } Arbori Arborii, ca şi listele, sunt structuri dinamice. Elementele structurale ale unui arbore sunt noduri şi arce orientate care unesc nodurile. Deci, în fond, un arbore este un graf orientat degenerat.

More information

Ghid pentru configurarea şi utilizarea aplicaţiei clicksign Demo

Ghid pentru configurarea şi utilizarea aplicaţiei clicksign Demo Ghid pentru configurarea şi utilizarea aplicaţiei clicksign Demo 2.6.9.223 Cuprins 1 Cadru general...2 2 Obţinerea unui certificat digital...3 3 Configurarea aplicaţiei clicksign...5 4 Utilizarea aplicaţiei

More information

Mecanismul de decontare a cererilor de plata

Mecanismul de decontare a cererilor de plata Mecanismul de decontare a cererilor de plata Autoritatea de Management pentru Programul Operaţional Sectorial Creşterea Competitivităţii Economice (POS CCE) Ministerul Fondurilor Europene - Iunie - iulie

More information

Dispozitive Electronice şi Electronică Analogică Suport curs 02 Metode de analiză a circuitelor electrice. Divizoare rezistive.

Dispozitive Electronice şi Electronică Analogică Suport curs 02 Metode de analiză a circuitelor electrice. Divizoare rezistive. . egimul de curent continuu de funcţionare al sistemelor electronice În acest regim de funcţionare, valorile mărimilor electrice ale sistemului electronic sunt constante în timp. Aşadar, funcţionarea sistemului

More information

MS POWER POINT. s.l.dr.ing.ciprian-bogdan Chirila

MS POWER POINT. s.l.dr.ing.ciprian-bogdan Chirila MS POWER POINT s.l.dr.ing.ciprian-bogdan Chirila chirila@cs.upt.ro http://www.cs.upt.ro/~chirila Pornire PowerPoint Pentru accesarea programului PowerPoint se parcurg următorii paşi: Clic pe butonul de

More information

Studiul numărătoarelor

Studiul numărătoarelor Studiul numărătoarelor În acest laborator se va studia funcţionarea unui numărător programabil alcătuit din decodificatorul 74LS138 şi numărătorul hexazecimal SN74193 (CDB4193). Numărătoare: generalităţi

More information

Figura x.1 Ecranul de pornire al mediului de dezvoltare

Figura x.1 Ecranul de pornire al mediului de dezvoltare x. Mediul de dezvoltare MICROSOFT VISUAL C++ În cadrul acestui capitol vom prezenta Microsoft Visual C++, din cadrul suitei Microsoft Visual Studio 2012, care este un mediu de programare care suportă dezvoltarea

More information

X-Fit S Manual de utilizare

X-Fit S Manual de utilizare X-Fit S Manual de utilizare Compatibilitate Acest produs este compatibil doar cu dispozitivele ce au următoarele specificații: ios: Versiune 7.0 sau mai nouă, Bluetooth 4.0 Android: Versiune 4.3 sau mai

More information

APLICAŢIA 4 MINIMIZAREA FUNCŢIILOR LOGICE METODA KARNAUGH

APLICAŢIA 4 MINIMIZAREA FUNCŢIILOR LOGICE METODA KARNAUGH APLICAŢIA 4 MINIMIZAREA FUNCŢIILOR LOGICE METODA KARNAUGH 1. Rezumat Acest laborator își propune prezentarea succintă a tehnicii de minimizare bazate pe diagrame Karnaugh, precum și sinteza cu porți logice

More information

Mods euro truck simulator 2 harta romaniei by elyxir. Mods euro truck simulator 2 harta romaniei by elyxir.zip

Mods euro truck simulator 2 harta romaniei by elyxir. Mods euro truck simulator 2 harta romaniei by elyxir.zip Mods euro truck simulator 2 harta romaniei by elyxir Mods euro truck simulator 2 harta romaniei by elyxir.zip 26/07/2015 Download mods euro truck simulator 2 harta Harta Romaniei pentru Euro Truck Simulator

More information

În continuare vom prezenta unele dintre problemele de calcul ale numerelor Fibonacci.

În continuare vom prezenta unele dintre problemele de calcul ale numerelor Fibonacci. O condiţie necesară şi suficientă ca un număr să fie număr Fibonacci Autor: prof. Staicu Ovidiu Ninel Colegiul Economic Petre S. Aurelian Slatina, jud. Olt 1. Introducere Propuse de Leonardo Pisa în 1202,

More information

CAIETUL DE SARCINI Organizare evenimente. VS/2014/0442 Euro network supporting innovation for green jobs GREENET

CAIETUL DE SARCINI Organizare evenimente. VS/2014/0442 Euro network supporting innovation for green jobs GREENET CAIETUL DE SARCINI Organizare evenimente VS/2014/0442 Euro network supporting innovation for green jobs GREENET Str. Dem. I. Dobrescu, nr. 2-4, Sector 1, CAIET DE SARCINI Obiectul licitaţiei: Kick off,

More information

MODELUL UNUI COMUTATOR STATIC DE SURSE DE ENERGIE ELECTRICĂ FĂRĂ ÎNTRERUPEREA ALIMENTĂRII SARCINII

MODELUL UNUI COMUTATOR STATIC DE SURSE DE ENERGIE ELECTRICĂ FĂRĂ ÎNTRERUPEREA ALIMENTĂRII SARCINII MODELUL UNUI COMUTATOR STATIC DE SURSE DE ENERGIE ELECTRICĂ FĂRĂ ÎNTRERUPEREA ALIMENTĂRII SARCINII Adrian Mugur SIMIONESCU MODEL OF A STATIC SWITCH FOR ELECTRICAL SOURCES WITHOUT INTERRUPTIONS IN LOAD

More information

Actualizarea firmware-ului pentru aparatul foto digital SLR

Actualizarea firmware-ului pentru aparatul foto digital SLR Actualizarea firmware-ului pentru aparatul foto digital SLR Vă mulţumim că aţi ales un produs Nikon. Acest ghid descrie cum să realizaţi actualizarea firmwareului. Dacă nu sunteţi sigur că puteţi realiza

More information

Lucrare de laborator nr. 13 Sinteza circuitelor cu programul Xilinx ISE

Lucrare de laborator nr. 13 Sinteza circuitelor cu programul Xilinx ISE 1. Scopul lucrării Lucrare de laborator nr. 13 Sinteza circuitelor cu programul Xilinx ISE Însuşirea cunoştinţelor privind sinteza circuitelor descrise în VHDL şi utilizarea în acest scop a programului

More information

The First TST for the JBMO Satu Mare, April 6, 2018

The First TST for the JBMO Satu Mare, April 6, 2018 The First TST for the JBMO Satu Mare, April 6, 08 Problem. Prove that the equation x +y +z = x+y +z + has no rational solutions. Solution. The equation can be written equivalently (x ) + (y ) + (z ) =

More information

2. Setări configurare acces la o cameră web conectată într-un echipament HG8121H cu funcție activă de router

2. Setări configurare acces la o cameră web conectată într-un echipament HG8121H cu funcție activă de router Pentru a putea vizualiza imaginile unei camere web IP conectată într-un echipament Huawei HG8121H, este necesară activarea serviciului Dinamic DNS oferit de RCS&RDS, precum și efectuarea unor setări pe

More information

PlusTV Analog USB Stick Manual de instalare

PlusTV Analog USB Stick Manual de instalare PlusTV Analog USB Stick Manual de instalare Cuprins V1.3 Capitolul 1 : PlusTV Analog USB Stick Instalare hardware...1 1.1 Conţinut...2 1.2 Cerinţe de configuraţie...2 1.3 Instalare hardware...2 Capitolul

More information

Reţele Neuronale Artificiale în MATLAB

Reţele Neuronale Artificiale în MATLAB Reţele Neuronale Artificiale în MATLAB Programul MATLAB dispune de o colecţie de funcţii şi interfeţe grafice, destinate lucrului cu Reţele Neuronale Artificiale, grupate sub numele de Neural Network Toolbox.

More information

CHAMPIONS LEAGUE 2017 SPONSOR:

CHAMPIONS LEAGUE 2017 SPONSOR: NOUA STRUCTURĂ a Ch League Pe viitor numai fosta divizie A va purta numele Champions League. Fosta divizie B va purta numele Challenger League iar fosta divizie C se va numi Promotional League. CHAMPIONS

More information

Aspecte controversate în Procedura Insolvenţei şi posibile soluţii

Aspecte controversate în Procedura Insolvenţei şi posibile soluţii www.pwc.com/ro Aspecte controversate în Procedura Insolvenţei şi posibile soluţii 1 Perioada de observaţie - Vânzarea de stocuri aduse în garanţie, în cursul normal al activității - Tratamentul leasingului

More information

Propuneri pentru teme de licență

Propuneri pentru teme de licență Propuneri pentru teme de licență Departament Automatizări Eaton România Instalație de pompare cu rotire în funcție de timpul de funcționare Tablou electric cu 1 pompă pilot + 3 pompe mari, cu rotirea lor

More information

LINEAR VOLTAGE-TO-CURRENT CONVERTER WITH SMALL AREA

LINEAR VOLTAGE-TO-CURRENT CONVERTER WITH SMALL AREA BULETINUL INSTITUTULUI POLITEHNIC DIN IAŞI Publicat de Universitatea Tehnică Gheorghe Asachi din Iaşi Tomul LXI (LXV), Fasc. 1, 2015 Secţia ELECTROTEHNICĂ. ENERGETICĂ. ELECTRONICĂ LINEAR VOLTAGE-TO-CURRENT

More information

Mai bine. Pentru c putem.

Mai bine. Pentru c putem. 1 CUPRINS: 1. SUMAR APLICAŢIE...... 3 1.1 Introducere... 3 1.2 Tipul de aplicaţie... 3 2. SPECIFICAŢII FUNCŢIONALE... 3 3. INSTALARE... 3 3.1 Introducere... 3 3.2 Ce trebuie să verificaţi înainte de a

More information

Ghid de utilizare Modul CI+

Ghid de utilizare Modul CI+ Ghid de utilizare Modul CI+ www.orange.md Introducere Vă mulțumim că aţi ales modulul CI+. Acesta funcționează împreună cu televizorul Dvs. și vă ajută să vedeți conținutul oferit în cadrul pachetului

More information

Nume şi Apelativ prenume Adresa Număr telefon Tip cont Dobânda Monetar iniţial final

Nume şi Apelativ prenume Adresa Număr telefon  Tip cont Dobânda Monetar iniţial final Enunt si descriere aplicatie. Se presupune ca o organizatie (firma, banca, etc.) trebuie sa trimita scrisori prin posta unui numar (n=500, 900,...) foarte mare de clienti pe care sa -i informeze cu diverse

More information

Ghid de pornire rapidă

Ghid de pornire rapidă Ghid de pornire rapidă 1 Ce este inclus Bine aţi venit Vă mulţumim că aţi ales Arlo. Instalarea este simplă. Staţie de bază Adaptor de alimentare pentru staţia de bază 100% camere wireless Cablu Ethernet

More information

A NOVEL ACTIVE INDUCTOR WITH VOLTAGE CONTROLLED QUALITY FACTOR AND SELF-RESONANT FREQUENCY

A NOVEL ACTIVE INDUCTOR WITH VOLTAGE CONTROLLED QUALITY FACTOR AND SELF-RESONANT FREQUENCY BULETINUL INSTITUTULUI POLITEHNIC DIN IAŞI Publicat de Universitatea Tehnică Gheorghe Asachi din Iaşi Tomul LX (LXIV), Fasc. 4, 2014 Secţia ELECTROTEHNICĂ. ENERGETICĂ. ELECTRONICĂ A NOVEL ACTIVE INDUCTOR

More information

1. Creaţi un nou proiect de tip Windows Forms Application, cu numele MdiExample.

1. Creaţi un nou proiect de tip Windows Forms Application, cu numele MdiExample. Aplicaţia MdiExample Aplicaţia implementează: Deschiderea şi închiderea ferestrelor child. Minimizarea şi maximizarea ferestrelor. Aranjarea ferestrelor. Tratarea mesajului de atenţionare la ieşirea din

More information

REVISTA NAŢIONALĂ DE INFORMATICĂ APLICATĂ INFO-PRACTIC

REVISTA NAŢIONALĂ DE INFORMATICĂ APLICATĂ INFO-PRACTIC REVISTA NAŢIONALĂ DE INFORMATICĂ APLICATĂ INFO-PRACTIC Anul II Nr. 7 aprilie 2013 ISSN 2285 6560 Referent ştiinţific Lector univ. dr. Claudiu Ionuţ Popîrlan Facultatea de Ştiinţe Exacte Universitatea din

More information

CURS 2. Reprezentarea numerelor intregi si reale. Sistem de numeraţie

CURS 2. Reprezentarea numerelor intregi si reale. Sistem de numeraţie Sistem de numeraţie CURS 2 Reprezentarea numerelor intregi si reale F.Boian, Bazele matematice ale calculatoarelor, UBB Cluj-Napoca, 2002 How computers see numbers and letters http://faculty.etsu.edu/lutter/courses/phys4007/p4007append_f.pdf

More information

Itemi Sisteme de Operare

Itemi Sisteme de Operare Itemi Sisteme de Operare 1. Pentru a muta un dosar (folder) de pe partiţia C: pe partiţia D: folosim: a. New Folder b. Ctrl + C din bara de instrumente şi Copy; c. Ctrl + X şi Ctrl + V; d. Edit Paste;

More information

Evoluția pieței de capital din România. 09 iunie 2018

Evoluția pieței de capital din România. 09 iunie 2018 Evoluția pieței de capital din România 09 iunie 2018 Realizări recente Realizări recente IPO-uri realizate în 2017 și 2018 IPO în valoare de EUR 312.2 mn IPO pe Piața Principală, derulat în perioada 24

More information

KAJOT V.RO BLACK PLANET JOC DE NOROC CU RISC LIMITAT

KAJOT V.RO BLACK PLANET JOC DE NOROC CU RISC LIMITAT KAJOT V.RO BLACK PLANET JOC DE NOROC CU RISC LIMITAT România CONTINE URMATOARELE JOCURI: AFRICAN WILD DIAMONDS CHERRY KISS WILD LADY JOKER BAR REELS OF RA RETRO WHEELS ROUTE 81 SIMPLY GOLD XXL SIMPLY 6

More information

UNIVERSITI MALAYSIA PERLIS

UNIVERSITI MALAYSIA PERLIS UNIVERSITI MALAYSIA PERLIS SCHOOL OF COMPUTER & COMMUNICATIONS ENGINEERING EKT303/4 PRINCIPLES OF COMPUTER ARCHITECTURE LAB 5 : STATE MACHINE DESIGNS IN VHDL LAB 5: Finite State Machine Design OUTCOME:

More information

Noţiuni introductive privind pachetul software OrCAD

Noţiuni introductive privind pachetul software OrCAD TEHNICI CAD PENTRU MODULE ELECTRONICE LUCRAREA DE LABORATOR nr. 2 Noţiuni introductive privind pachetul software OrCAD I. Scopul lucrării: Scopul lucrării de laborator nr. 1 este de a realiza o introducere

More information

earning every day-ahead your trust stepping forward to the future opcom operatorul pie?ei de energie electricã și de gaze naturale din România Opcom

earning every day-ahead your trust stepping forward to the future opcom operatorul pie?ei de energie electricã și de gaze naturale din România Opcom earning every day-ahead your trust stepping forward to the future opcom operatorul pie?ei de energie electricã și de gaze naturale din România Opcom RAPORT DE PIA?Ã LUNAR MARTIE 218 Piaţa pentru Ziua Următoare

More information

Laborator 1. Programare declarativă. Programare logică. Prolog. SWI-Prolog

Laborator 1. Programare declarativă. Programare logică. Prolog. SWI-Prolog Laborator 1 Programare declarativă O paradigmă de programare în care controlul fluxului de execuție este lăsat la latitudinea implementării limbajului, spre deosebire de programarea imperativă în care

More information

ISBN-13:

ISBN-13: Regresii liniare 2.Liniarizarea expresiilor neliniare (Steven C. Chapra, Applied Numerical Methods with MATLAB for Engineers and Scientists, 3rd ed, ISBN-13:978-0-07-340110-2 ) Există cazuri în care aproximarea

More information

Documentaţie Tehnică

Documentaţie Tehnică Documentaţie Tehnică Verificare TVA API Ultima actualizare: 27 Aprilie 2018 www.verificaretva.ro 021-310.67.91 / 92 info@verificaretva.ro Cuprins 1. Cum funcţionează?... 3 2. Fluxul de date... 3 3. Metoda

More information

2. In the pattern below, which number belongs in the box? 0,5,4,9,8,13,12,17,16, A 15 B 19 C 20 D 21

2. In the pattern below, which number belongs in the box? 0,5,4,9,8,13,12,17,16, A 15 B 19 C 20 D 21 CLASA a IV-a Here are some suggestions to help you do your best: Read carefully each question and think about the answer before choosing your response. RULES Part I has four multiple choice exercices.

More information

Lucrarea de laborator nr. 4

Lucrarea de laborator nr. 4 Metode merice - Lucrarea de laborator 4 Lucrarea de laborator nr. 4 I. Scopul lucrării Elemente de programare în MAPLE II. III. Conţinutul lucrării 1. Atribuirea. Decizia. Structuri repetitive. 2. Proceduri

More information

Metoda BACKTRACKING. prof. Jiduc Gabriel

Metoda BACKTRACKING. prof. Jiduc Gabriel Metoda BACKTRACKING prof. Jiduc Gabriel Un algoritm backtracking este un algoritm de căutare sistematică și exhausivă a tuturor soluțiilor posibile, dintre care se poate alege apoi soluția optimă. Problemele

More information

EN teava vopsita cu capete canelate tip VICTAULIC

EN teava vopsita cu capete canelate tip VICTAULIC ArcelorMittal Tubular Products Iasi SA EN 10217-1 teava vopsita cu capete canelate tip VICTAULIC Page 1 ( 4 ) 1. Scop Documentul specifica cerintele tehnice de livrare pentru tevi EN 10217-1 cu capete

More information

SISTEME CU CIRCUITE INTEGRATE DIGITALE (EA II) ELECTRONICĂ DIGITALĂ (CAL I) Prof.univ.dr.ing. Oniga Ștefan

SISTEME CU CIRCUITE INTEGRATE DIGITALE (EA II) ELECTRONICĂ DIGITALĂ (CAL I) Prof.univ.dr.ing. Oniga Ștefan SISTEME CU CIRCUITE INTEGRATE DIGITALE (EA II) ELECTRONICĂ DIGITALĂ (CAL I) Prof.univ.dr.ing. Oniga Ștefan Convertoare numeric analogice şi analog numerice Semnalele din lumea reală, preponderent analogice,

More information

INFORMAȚII DESPRE PRODUS. FLEXIMARK Stainless steel FCC. Informații Included in FLEXIMARK sample bag (article no. M )

INFORMAȚII DESPRE PRODUS. FLEXIMARK Stainless steel FCC. Informații Included in FLEXIMARK sample bag (article no. M ) FLEXIMARK FCC din oțel inoxidabil este un sistem de marcare personalizată în relief pentru cabluri și componente, pentru medii dure, fiind rezistent la acizi și la coroziune. Informații Included in FLEXIMARK

More information

6. Bucle. 6.1 Instrucţiunea while

6. Bucle. 6.1 Instrucţiunea while 6. Bucle În capitolul trecut am văzut cum putem selecta diferite instrucţiuni pentru execuţie folosind instrucţiunea if. O buclă este o structură de control care provoacă executarea unei instrucţiuni sau

More information

5.3 OSCILATOARE SINUSOIDALE

5.3 OSCILATOARE SINUSOIDALE 5.3 OSCILATOARE SINUSOIDALE 5.3.1. GENERALITĂŢI Oscilatoarele sunt circuite electronice care generează la ieşire o formă de undă repetitivă, cu frecvenţă proprie, fără a fi necesar un semnal de intrare

More information

Nu găseşti pe nimeni care să te ajute să transporţi comenzile voluminoase?

Nu găseşti pe nimeni care să te ajute să transporţi comenzile voluminoase? Agenda ta de lucru este încărcată şi eşti nevoit\ă să îţi consumi timpul şi nervii prin staţii de autobuz, pe arşiţă sau pe frig, ca să poţi ajunge la timp să îţi ridici comanda? Nu găseşti pe nimeni care

More information

Aplicație de generare a UFI. Ghidul utilizatorului

Aplicație de generare a UFI. Ghidul utilizatorului Aplicație de generare a UFI Ghidul utilizatorului Versiunea 1.1 6.10.2016 Cuprins 1 Introducere... 3 2 Generarea UFI-urilor... 4 2.1 Lansarea aplicației și selectarea limbii... 4 2.2 Generarea unui singur

More information

PROIECTAREA CU CIRCUITE LOGICE PROGRAMABILE

PROIECTAREA CU CIRCUITE LOGICE PROGRAMABILE Arhitectura calculatoarelor - Lucrarea de laborator Nr. 6 1 PROIECTAREA CU CIRCUITE LOGICE PROGRAMABILE 1. Scopul lucrării Lucrarea prezintă principalele tipuri de circuite programabile, etapele din cadrul

More information

Scopul lucrării: a. Familiarizarea cu utilizarea osciloscopului;

Scopul lucrării: a. Familiarizarea cu utilizarea osciloscopului; Scopul lucrării: a. Familiarizarea cu utilizarea osciloscopului; Lucrarea 3. Filtre pasive de tensiune b. Familiarizarea cu utilizarea generatorului de semnal; c. Introducerea analizei în regim de curent

More information

9. Memoria. Procesorul are o memorie cu o arhitectură pe două niveluri pentru memoria de program și de date.

9. Memoria. Procesorul are o memorie cu o arhitectură pe două niveluri pentru memoria de program și de date. 9. Memoria Procesorul are o memorie cu o arhitectură pe două niveluri pentru memoria de program și de date. Primul nivel conține memorie de program cache (L1P) și memorie de date cache (L1D). Al doilea

More information

The driving force for your business.

The driving force for your business. Performanţă garantată The driving force for your business. Aveţi încredere în cea mai extinsă reţea de transport pentru livrarea mărfurilor în regim de grupaj. Din România către Spania în doar 5 zile!

More information

PROCEDURA PRIVIND DECONTURILE. 2. Domeniu de aplicare Procedura se aplică în cadrul Universităţii Tehnice Cluj-Napoca

PROCEDURA PRIVIND DECONTURILE. 2. Domeniu de aplicare Procedura se aplică în cadrul Universităţii Tehnice Cluj-Napoca PROCEDURA PRIVIND DECONTURILE 1. Scpul: Descrie structura si mdul de elabrare si prezentare a prcedurii privind dcumentele care trebuie intcmite si cursul acestra, atunci cind persana efectueaza un decnt.

More information

Managementul referinţelor cu

Managementul referinţelor cu TUTORIALE DE CULTURA INFORMAŢIEI Citarea surselor de informare cu instrumente software Managementul referinţelor cu Bibliotecar Lenuţa Ursachi PE SCURT Este gratuit Poţi adăuga fişiere PDF Poţi organiza,

More information

MANUAL DE UTILIZARE. Pentru prima utilizare, vă rugăm să apăsați și mențineți apăsată tasta " " pentru a porni ceasul.

MANUAL DE UTILIZARE. Pentru prima utilizare, vă rugăm să apăsați și mențineți apăsată tasta   pentru a porni ceasul. MANUAL DE UTILIZARE Pentru prima utilizare, vă rugăm să apăsați și mențineți apăsată tasta " " pentru a porni ceasul. 1. Principalele caracteristici de functionare * Ceasul transmite date prin Bluetooth

More information

STARS! Students acting to reduce speed Final report

STARS! Students acting to reduce speed Final report STARS! Students acting to reduce speed Final report Students: Chiba Daniel, Lionte Radu Students at The Police Academy Alexandru Ioan Cuza - Bucharest 25 th.07.2011 1 Index of contents 1. Introduction...3

More information

Instrucțiuni pentru reînnoirea online a certificatului digital calificat DigiSign versiunea 3.0

Instrucțiuni pentru reînnoirea online a certificatului digital calificat DigiSign versiunea 3.0 Instrucțiuni pentru reînnoirea online a certificatului digital calificat DigiSign versiunea 3.0 I. Cerințe obligatorii pentru procesul de reînnoire online 1. Sistem de operare compatibil: Windows XP(SP3)/Vista/7,8,8.1

More information

CMOS DELAY CELL WITH LARGE TUNING RANGE

CMOS DELAY CELL WITH LARGE TUNING RANGE BULETINUL INSTITUTULUI POLITEHNIC DIN IAŞI Publicat de Universitatea Tehnică Gheorghe Asachi din Iaşi Volumul 62 (66), Numărul 2, 2016 Secţia ELECTROTEHNICĂ. ENERGETICĂ. ELECTRONICĂ CMOS DELAY CELL WITH

More information

Preţul mediu de închidere a pieţei [RON/MWh] Cota pieţei [%]

Preţul mediu de închidere a pieţei [RON/MWh] Cota pieţei [%] Piaţa pentru Ziua Următoare - mai 217 Participanţi înregistraţi la PZU: 356 Număr de participanţi activi [participanţi/lună]: 264 Număr mediu de participanţi activi [participanţi/zi]: 247 Preţ mediu [lei/mwh]:

More information

CERERI SELECT PE O TABELA

CERERI SELECT PE O TABELA SQL - 1 CERERI SELECT PE O TABELA 1 STUD MATR NUME AN GRUPA DATAN LOC TUTOR PUNCTAJ CODS ---- ------- -- ------ --------- ---------- ----- ------- ---- 1456 GEORGE 4 1141A 12-MAR-82 BUCURESTI 2890 11 1325

More information

Normalizarea tăriei sonore şi nivelul maxim permis al semnalelor audio

Normalizarea tăriei sonore şi nivelul maxim permis al semnalelor audio EBU Recomandarea R 128 Normalizarea tăriei sonore şi nivelul maxim permis al semnalelor audio Status: Recomandare EBU This informal translation of EBU R 128 into Romanian has been kindly provided by Mr

More information

Limbajul VHDL. Circuite integrate numerice. Limbajul VHDL

Limbajul VHDL. Circuite integrate numerice. Limbajul VHDL Limbajul VHDL VHDL este unul dintre limbajele standard folosite în industrie la ora actuală, pentru a descrie sistemele numerice. VHDL înseamnă VHSIC (Very High Speed Integrated Circuits) Hardware Description

More information

Ce pot face pe hi5? Organizare si facilitati. Pagina de Home

Ce pot face pe hi5? Organizare si facilitati. Pagina de Home Ce este Hi5!? hi5 este un website social care, în decursul anului 2007, a fost unul din cele 25 cele mai vizitate site-uri de pe Internet. Compania a fost fondată în 2003 iar pana in anul 2007 a ajuns

More information

Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic

Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Proiect nr. 154/323 cod SMIS 4428 cofinanțat de prin Fondul European de Dezvoltare Regională Investiții pentru viitorul

More information

Candlesticks. 14 Martie Lector : Alexandru Preda, CFTe

Candlesticks. 14 Martie Lector : Alexandru Preda, CFTe Candlesticks 14 Martie 2013 Lector : Alexandru Preda, CFTe Istorie Munehisa Homma - (1724-1803) Ojima Rice Market in Osaka 1710 devine si piata futures Parintele candlesticks Samurai In 1755 a scris The

More information

Proceduri stocate. Crearea procedurilor stocate. Varianta 1 În Management Studio se dă clic pe New Query ca în imaginea de mai jos: Fig.

Proceduri stocate. Crearea procedurilor stocate. Varianta 1 În Management Studio se dă clic pe New Query ca în imaginea de mai jos: Fig. Proceduri stocate Crearea procedurilor stocate. Varianta 1 În Management Studio se dă clic pe New Query ca în imaginea de mai jos: Fig. 1 Odată cu deschiderea editorului SQL, apare și bara de instrumente

More information

Class D Power Amplifiers

Class D Power Amplifiers Class D Power Amplifiers A Class D amplifier is a switching amplifier based on pulse-width modulation (PWM) techniques Purpose: high efficiency, 80% - 95%. The reduction of the power dissipated by the

More information

Grafuri bipartite. Lecție de probă, informatică clasa a XI-a. Mihai Bărbulescu Facultatea de Automatică și Calculatoare, UPB

Grafuri bipartite. Lecție de probă, informatică clasa a XI-a. Mihai Bărbulescu Facultatea de Automatică și Calculatoare, UPB Grafuri bipartite Lecție de probă, informatică clasa a XI-a Mihai Bărbulescu b12mihai@gmail.com Facultatea de Automatică și Calculatoare, UPB Colegiul Național de Informatică Tudor Vianu București 27 februarie

More information

6. Implementări FPGA ale automatelor celulare, aplicatii in criptografie

6. Implementări FPGA ale automatelor celulare, aplicatii in criptografie 6. Implementări FPGA ale automatelor celulare, aplicatii in criptografie 117 Ioana Dogaru, Radu Dogaru 6.1. Automate celulare ca generatoare de secvenţe pseudoaleatoare (număratoare haotice), descrierea

More information

CUPRINS 1. SISTEME NUMERICE. PRINCIPII DE FUNCŢIONARE

CUPRINS 1. SISTEME NUMERICE. PRINCIPII DE FUNCŢIONARE CUPRINS 0. SISTEME DE NUMERATIE 0.1 Sisteme de numeratie sau baze de numeratie 0.2 Tipuri de sisteme de numeratie 0.3 Conversia numerelor dintr-o baza de numeratie in alta 0.4 Sistemul de numeratie binar

More information

RC-5 Elitech Înregistrator Temperatură (Data Logger) USB Instrucţiuni de operare

RC-5 Elitech Înregistrator Temperatură (Data Logger) USB Instrucţiuni de operare RC-5 Elitech Înregistrator Temperatură (Data Logger) USB Instrucţiuni de operare I. Descriere generală Acest înregistrator de date este utilizat în principal pentru înregistrarea temperaturii în timpul

More information

Sistem Home Theatre. Ghid de pornire HT-XT1

Sistem Home Theatre. Ghid de pornire HT-XT1 Sistem Home Theatre Ghid de pornire HT-XT1 Cuprins Configurare 1 Conţinutul cutiei 3 2 Instalare 4 3 Conectare 6 4 Pornirea sistemului 8 5 Ascultarea sunetului 9 Operaţii de bază Ascultarea efectelor de

More information