6. Implementări FPGA ale automatelor celulare, aplicatii in criptografie

Size: px
Start display at page:

Download "6. Implementări FPGA ale automatelor celulare, aplicatii in criptografie"

Transcription

1 6. Implementări FPGA ale automatelor celulare, aplicatii in criptografie 117 Ioana Dogaru, Radu Dogaru 6.1. Automate celulare ca generatoare de secvenţe pseudoaleatoare (număratoare haotice), descrierea în limbaj VHDL Generalităţi Sunt circuite semiconductoare care pot fi reconfigurate în vederea dezvoltării unei aplicaţii specifice. Programarea circuitelor se poate face după ce acestea au fost montate, de aici şi numele de "field-programmable". Se pot folosi cu scopul de a implementa orice funcţie logică, la fel ca şi în cazul circuitelor de tip ASIC (Application-Specific Integrated Circuit), dar având marele avantaj al posibilităţii de a reconfigura circuitul cu logica care se doreşte a fi folosită. Alte avantaje care fac atractivă folosirea circuitelor de tip FPGA ar fi prototipizarea rapidă, scurtarea timpului (time to market) până la finalizarea produsului, abilitatea de a putea verifica şi depana in-system logica implementată, high performance computing (performanţe foarte bune de calcul), paralelizare. Un alt indicator îndeplinit prin folosirea circuitelor de tip FPGA este acela de a micşora costurile NRE (Non-recurring engineering). Aceste costuri se referă la cele raportate la cercetare, dezvoltare, proiectare, testare în vederea realizării unui produs nou. NRE este un parametru important în analiza rentabilităţii unui produs nou. Chiar dacă acest cost este plătit doar la început, acesta poate fi suficient de mare, astfel încât să se pună problema vanzării unei cantităţi mari din produsul respectiv pentru a acoperi acest cost şi de a fi profitabil pentru firma dezvoltatoare. Având în vedere aceste caracteristici ale circuitelor de tip FPGA, le vom utiliza pentru implementarea automatelor celulare cu anumite particularităţi, descrise în cele ce urmează. Automatele celulare sunt sisteme de calcul paralel masiv, unde funcţiile interesante pentru calcul apar într-o arie de celule identice (Ganguly et al, 2003). Fiecare celulă este definită de o regulă locală, care de cele mai multe ori este o funcţie Booleană cu n intrări. Aceste intrări sunt conectate la alte celule învecinate, folo-

2 118 sind un pattern similar pentru toate celulele. Programarea automatului celular constă în definirea unui set relativ mic de parametri, denumit genă (Chua, 1998). În cazul automatelor celulare Booleane (binare), gena este un vector Y yn 1, yn 2,... y0 care defineşte funcţia locală booleană pentru o celulă cu un log N intrări. Reprezentarea zecimală a şirului este denumita şi n 2 număr de folosita în cele ce urmează ca ID, care ales în mod optim realizează programarea automatului celular cu scop de a implementa o anumită funcţie. Un caz particular studiat în cercetările noastre recente este cel al automatului celular definit de regula 101 şi varianta negată a acestuia (regula 154), cunoscut sub denumirea de automat celular hibrid (HCA). Într-o serie de lucrări (Dogaru et al, 2010a) (Dogaru et al, 2010b) (Dogaru, 2009a)(Dogaru, 2009b)(Dogaru et al, 2010c) s-au făcut cercetări în ceea ce priveşte sincronizarea binară în automatele celulare elementare şi s-a demonstrat că acest tip de automat celular hibrid este generator de numere aleatoare cu proprietăţi adiţionale de sincronizare binară, având capabilităţi de a reduce complexitatea în sistemele de transmisie. În (Dogaru, & al, 2010d) a fost detaliat modul de implementare in circuite de tip FPGA pentru automatul celular. De asemenea s-a prezentat descrierea în limbaj VHDL a numărătorului HCA pentru un număr arbitrar de n celule. Concluzia în ceea ce priveşte complexitatea hardware este că aceasta este de câteva zeci de ori mai mică decât în cazul altor generatoare de numere aleatoare raportate în literatura de specialitate. Folosind acest cod descris în VHDL, în (Dogaru & Dogaru,2010e) s-a propus o metodologie bazată pe reprezentarea folosind forma algebrică normală şi un program care să automatizeze generarea codului VHDL care să permită descrierea oricărui tip de automat elementar hibrid cu parametri arbitrari. Aceşti parametri se referă la regula locală, numărul de celule şi masca care sunt specifici pentru automatele celulare hibride. Rolul acestui software este de a conduce la o reprezentare rapidă în cod VHDL a mai multor de automate celulare care pot fi folosite în diferite tipuri de aplicaţii. În aceeaşi lucrare se verifică funcţionalitatea codului generat automat prin implementarea unui generator de numere aleatoare. Avantajul este dat de faptul că nu mai este necesară o codare manuală, fişierul cu extensia *.vhd în care este descris automatul fiind generat automat Reprezentarea regulii locale folosind forma algebrică normală (ANF algebric normal form) Dinamica automatelor celulare elementare hibride cu M celule este dată de următoarea ecuaţie de stare în timp discret, şi care se aplică în mod sincron la toate celulele. O celulă este definită de un index spaţial i 1,.. M având o stare iniţială specificată x 0 pentru toate celulele automatului: i

3 x t, t) i ( i i1 i i1, unde 119 t 1) m Cell x x ( t), x ID (1) y j Cell u1, u2, u3, ID (2) i,..., 1 m i m M putem îmbunătăţi caracteristicile automatului celular (ca de exemplu lungimea secvenţei pseudo generatorului de zgomot). Pentru implementarea folosind circuite de tip FPGA, este necesară descrierea automatului celular folosind un limbaj de descriere hardware, în cazul nostru vom folosi codul descris în VHDL. Un caz particular pentru un automat celular ID = 101 cu M=7 celule este descris în cod VHDL după cum urmează: reprezintă tabela de adevăr a regulii locale pentru o vecinătate dată de n=3 celule. Se presupune condiţia de margine ca fiind de tip periodic, în aşa fel încât pentru i=1 (celula cea mai din stânga) vecinătatea sa din stânga este determinată de indicele i=m, M-1. Funcţia locală este complet specificată de vectorul care descrie gena Y yn 1, yn 2,... y0, sau de ID-ul asociat, adică reprezentarea decimală a vectorului Y. Pentru automate celulare elementare, N=2 3 =8. Indexul j în formula (2) este reprezentarea zecimală a cuvântului binar u 3, u2, u1. Pentru automatele celulare hibride caracterizate de m = 1 se determină o versiune inversată a regulii locale definite de ID. De exemplu, pentru ID = 101 pentru celulele cu m 0, va deveni ID = 154 pentru celulele cu m 1. Alegând corespunzător un vector de mascare m m,.. mask := " "; REG<= a xor (b and c) xor mask; unde REG este un registru de semnal asociat stării actuale a automatului celular; a - reprezintă starea celulei din vecinătatea din stânga (corespunzătoare variabilei u1 din ecuaţia (2) ); b - reprezintă starea asociată celulei din mijloc (corespunzătoare variabilei u2 din ecuaţia (2) ); c - reprezintă starea celulei din vecinătatea din dreapta (corespunzătoare variabilei u3 din ecuaţia (2) ); Pentru a realiza o unealtă de dezvoltare care să fie utilă pentru testarea diferitelor automate celulare cu ID-uri diferite sau pentru prototipizare rapidă, este necesar să se determine o expresie generală care să permită descrierea automatului plecând de la ID-ul corespunzător. i

4 120 Tabela de adevăr s-a dovedit (Chua, 1998) a nu fi potrivită pentru o astfel de formulă. O alternativă la descrierea folosind funcţiile de tip Boolean este reprezentarea folosind forma algebrică normală (Ronjom et al, 2007) (Algebric Normal Form - ANF). Pentru automate celulare elementare (cu 3 intrări) reprezentarea algebrică ANF este după cum urmează: y k (3) 0 k1u1 k2u2 k3u3 k4u1u 2 k5u2u3 k6u3u1 k7u1u 2u3 Forma algebrică operează într-un grup Galois (modulo 2). Dacă k 4, k5, k6, k7 sunt 0, funcţia logică definită de relaţia (3) este liniară şi se foloseşte în criptografie (registru liniar de deplasare LFSR în automate celulare hibride cu regula 90/150). Operatorul produs din relaţia (3) corespunde funcţiei logice AND în timp ce operatorul corespunde funcţiei logice XOR. În (Ronjom, & al, 2007) este prezentat un algoritm care permite cu ușurință calcularea vectorului k= k 0, k1,.., k N 1 din reprezentarea algebrică pentru orice reprezentare din tabelul de adevăr caracterizat de vectorul pentru ID, Y yn 1, yn 2,... y0. Plecând de la un ID dat, se foloseşte forma algebrică normală, reţinând numai termenii pentru care k 1. j Exemplu 1: Un automat celular definit de un număr de M=7 celule, un vector de mascare ( ) şi ID = 101. Linia de cod VHDL este după cum urmează:. REG<= xor c xor a xor (b and a) xor mask; Această descriere corespunde formei particulare a ecuaţiei (3) pentru reprezentarea formei algebrice normale y 1 u3 u1 u2u1 Exemplul 2: ID = 90, linia de cod VHDL: REG<= c xor a xor mask; Această descriere corespunde formei particulare a ecuaţiei (3) pentru reprezentarea formei algebrice normale y u 3 u1

5 Descrierea software-ului pentru generarea automată a codului VHDL Diagrama sistemului realizat pentru prototipizarea rapidă a automatelor celulare elementare hibride cu implementare în FPGA este prezentată în figura 1. Fig. 1. Diagrama logică a sistemului pentru prototipizare rapidă În exemplul de mai sus, codul VHDL generat este cel pentru automatul celular descris de regula ID = 101 cu M=7 celule. Starea iniţială şi masca sunt parametri de asemenea programabili şi în acest exemplu sunt şi corespunzător pentru starea iniţială Codul VHDL este generat într-un fişier care va fi folosit în continuare într-un proiect pentru implementare în circuite de tip FPGA. Acest tip de circuit este ales ca ţintă a implementării hardware datorită performanţelor bune, paralelismului şi a consumului scăzut. Ca implementare practică folosim circuite de la Altera (Cyclon II) şi respectiv unealta de dezvoltare este Altera Quartus II ediţia web. Fişierul VHDL se introduce într-un proiect care va fi compilat, rezultând un fişier de programare a circuitului plăcii de dezvoltare DE2 University Program de la Altera. Circuitul FPGA este Cyclone II EP2C35F672C6, tensiune 1.2V, şi elemente logice - Logic Elements (LE).

6 122 Fig. 2. Interfaţa utilizator. Se permite introducerea parametrilor programabili pentru descrierea automatelor celulare hibride. Se localizează fişierul care conţine codul VHDL şi se poate previzualiza Rezultate experimentale obţinute în urma folosirii programului de generare automată a codului VHDL Folosind programul de generare automată a codului VHDL, obţinem descrierea automatului celular având ID 101 şi 7 celule. Rezultatele sunt comparate cu modelul dezvoltat în Matlab pentru acelaşi automat, care îndeplineşte funcţia de generator de numere aleatoare cu sincronizare. De asemenea, am testat şi alte ID-uri

7 123 cu diverşi pamateri şi s-au confruntat rezultatele cu cele obţinute prin modelarea Matlab. Fişierul VHDL este introdus într-un proiect generat în softul de dezvoltare pentru circuitele de tip FPGA de la Altera. Aceasta este versiunea gratuită a programului Quartus II. Orice altă familie de circuite FPGA sau software de dezvoltare a proiectelor pentru FPGA se poate folosi pentru implementare hardware. Testarea funcţională s-a realizat folosind o placă de dezvoltare DE2 de la firma Altera (Altera University Program). În figura 3 se observă formele de undă obţinute în urma simulării numărătorului haotic ca funcţie a automatului celular cu regula 101 având un număr de 7 celule Fig.3. Forme de undă obţinute în urma simulării numărătorului haotic ca funcţie a automatului celular cu regula 101 având un număr de 7 celule Stările automatului celular sunt vizualizate folosind LED-urile de pe placa de dezvoltare. Resursele folosite în cazul circuitului FPGA sunt reprezentate în tabelul 1. Total elemente logice (Logic Elements LE): 7 / 33,216 ( < 1 % ) Pini: 9/475 (2%) Biţi de memorie: 0 / 483,840 ( 0 % ) Înmulţitoare integrate de 9 biţi 0 / 70 ( 0 % ) Funcţii combinaţionale: 7, Regiştri logici: 7 Tabel 1. Alocarea resurselor pentru implementarea automatului celular hibrid folosind circuitul de tip FPGA Cyclone II - EP2C35F672C6 Din datele prezentate în Tabelul 1, se observă că automatul celular a fost sintetizat corect iar codul VHDL folosit a fost optimal, ceea ce conduce la folosirea judicioasă a resurselor circuitului. Codul VHDL obţinut este prezentat în figura 4. Este posibil folosind acest circuit să implementăm automate celulare cu până la celule. Rezultate similare s-au obţinut pentru orice ID şi orice valori pentru parametri stare iniţială şi respectiv număr de celule.

8 124 Fig 4. Codul VHDL generat automat pentru automatul celular cu regula ID=101 şi M=7 celule (numărător haotic) 6.2. De la idee la implementare, etape specifice proiectarii cu FPGA Fluxul de proiectare va consta din următoarea secvență: 1) Se alege familia de circuite care va fi folosita pentru dezvoltarea de proiecte (această decizie va fi luată după ce se vor studia resursele circuitelor existente raportate la cerinţele proiectului, cost etc.). În această lucrare vom folosi circuite FPGA de la Altera 1 din familia Cyclone II. Pentru a beneficia de resursele puse la dispoziţie de firmă trebuie sa avem un cont de utilizator user-password. 2) Se instalează programul de dezvoltare Quartus II web edition (Altera, Quartus II web edition) împreună cu ModelSim şi programele de dezvoltare pentru procesorul software Nios II daca se dorește dezvoltarea unui proiect care folosește un astfel de procesor. 3) Se alege modul de dezvoltare prin limbaj de dezvoltare hardware (Verilog sau VHDL) sau prin modul schematic. 4) Se realizează un proiect folosind familia de circuite aleasă la pasul 1.

9 125 5) Se programează placa de dezvoltare cu fișierul de configurare obţinut la pasul 4. În aceasta lucrare se va folosi placa DE2 de la Altera echipată cu circuitul FPGA din familia Cyclone II Exemple de familii de circuite de tip FPGA și CPLD de la firma Altera Circuite FPGA de la firma producătoare ALTERA: Seria de circuite de cost redus CYCLONE: Cyclone IV, Cyclone III, Cyclone II ideal pentru aplicaţii pe scală largă şi de cost mic. Un astfel de circuit poate fi folosit ca un processor de semnal digital, sau pentru soluţii integrate. Seria de circuite STRATIX (High-End FPGA): Stratix V, Stratix IV, Stratix III, Stratix II sunt circuite cu densităţi mari, de mare performanţă, cu productivitate înaltă. Înclud până la 24 transceiver care suportă până la 11.3 Gbps, ceea ce permite transfer de date de frecvenţă mare. (Aceste circuite se folosesc în aplicaţii pentru transmisii de date). Seria de circuite Arria (Low-Cost Transceivers-Based FPGA): Arria II, Arria GX optimizate pentru aplicaţii bazate pe transceiver, cu un set de funcţii îmbunătăţit (memorie, logică, DSP). Se pot integra mai multe funcţii şi se poate maximiza laţimea de bandă a sistemului (până la 16 transceivere care functionează la 3.75 Gbps). Costul este optimizat în raport cu faptul că se obţin toate cerinţele de procesare digitală a semnalelor pentru standardele wireless cum ar fi 3G sau LTE (long-term evolution) Circuite CPLD de la firma producătoare ALTERA: Seria de circuite de cost redus MAX În cele ce urmeză vom prezenta pe scurt resursele de care dispunem în vederea realizării proiectelor hardware în ceea ce priveşte circuitele FPGA, softul de dezvoltare şi a plăcii de testare. Pentru aprofundare se va putea consulta documentaţia de firma pusă la dispoziţia utilizatorului pe pagina web a firmei Altera.

10 Placa de dezvoltare ALTERA DE2 Board descrierea resurselor disponibile Fig 5. Placa de dezvoltare DE2 (Altera, DE2 User Manual) În figura 5 este prezentată placa de dezvoltare conform documentației de firmă şi se pot remarca resursele care se pot utiliza în vederea dezvoltării aplicaţiilor. Resursele de care dispunem conduc la concluzia ca putem implementa o gamă largă de aplicaţii, plecând de la cele mai simple până la cele mai complicate din domeniul multimedia. Resurse disponibile: Circuit FPGA Altera Cyclone II 2C35 Circuitconfigurare serială Altera - EPCS16 USB Blaster (on board) pentru programare şi control JTAG şi Active Serial 512-Kbyte SRAM 8-Mbyte SDRAM 4-Mbyte Flash SD Card socket 4 pushbutton switches 18 toggle switches 18 LED-uri de culoare roşie 9 LED-uri de culoare verde surse de clock de 50-MHz şi respectiv de 27-MHz 24-bit CD- audio CODEC VGA DAC (10-bit high-speed triple DACs) şi connector de ieşirevga

11 127 Decodor TV (NTSC/PAL) şi conector de intrare TV 10/100 Ethernet Controller USB Host/Slave Controller şi conectori USB tip A şi tip B RS-232 transceiver şi conector de 9 pini PS/2 mouse/tastatură IrDA transceiver Cyclone II FPGA este famila de circuite de cost redus de la firma Altera. Tehnologia de realizare este de tip SRAM 1.2-V, 90-nm, cu densităţi de peste 68K elemente logice (LE) şi până la 1.1 Mbits memorie RAM integrată. Circuitele se pot folosi la aplicaţii DSP, având capabilităţi de tipul PLL pentru gestionarea semnalului de ceas, înmulţitoare integrate şi interfeţe pentru memorii externe de viteză mare. Circuitele sunt recomandate pentru producţie de serie mare, datorită costului redus. Standardele de intrare-ieşire (I/O) suportate de circuit sunt de tip diferenţial şi single-ended. Altera oferă circuite de cost redus pentru configurarea circuitelor din familia Cyclone II. Cyclone II 2C35 FPGA 33,216 Les (blocuri logice) 105 M4K blocuri RAM 483,840 total RAM bits 35 inmultitoare 4 PLLs 475 pini de intrare/iesire capsula BGA 672-pini Pentru a putea utiliza în proiecte aceste circuite trebuie să cunoaștem arhitectura circuitului, resurse, pini, caracteristici referitoare la tensiuni, timpi etc. În cele ce urmează vom prezenta pe scurt câteva dintre aceste caracteristici. Arhitectura circuitului După cum se observă circuitul are o arhitectură bazată pe linii si coloane şi conține elemente după cum urmează: LAB Logic Array Blocks blocuri de arii logice sunt alcătuite din câte16 elemente logice LE (Logic Element). Un element logic este cea mai mică unitate care permite implementarea eficientă a funcţiilor logice. LAB-urile sunt grupate în linii şi coloane. Densitatea circuitului este dată

12 128 de numărul de elemente logice LE. Pentru circuitul Cyclone II, avem o densitate de la 4,608 până la 68,416 LE. Memorii încapsulate Înmulţitoare încapsulate (embedded multipliers) Fig 6. Cyclone II EP2C20 Diagrama bloc Circuitul prezintă o reţea de clock globală şi până la 4-bucle cu calare de fază (PLL phaselocked loops). Reţeaua globală este alcătuită din până la 16 linii de clock globale care strabat întreg circuitul. Rolul acestora este acela de a furniza semnalulul de ceas pentru toate tipurile de resurse, cum ar fi elementele de intrareieşire (IO), elementele logice (LE), înmulţitoarele şi blocurile de memorie integrate. Blocurile de memorie M4K sunt memorii dual-port cu 4Kb de memorie şi paritate (4,608 bits). Înmulţitoarele integrate pot implementa până la două 9 9-bit sau un singur înmulţitor bit până la o frecvenţă de lucru de 250 MHz. Numărul de blocuri de memorie, înmulţitoare integrate, bucle PLL, linii şi coloane diferă în funcţie de tipul de circuit din familia analizată. Comparativ, aceste resurse sunt prezentate in Tabelul 2 pentru circuite din familia Cyclone II. Tabel 2. Familia de circuite Cyclon II Circuit Coloane LAB Rânduri LAB LE PLL Blocuri de memorie M4K EP2C , EP2C , EP2C , EP2C , EP2C , EP2C , Înmulţitoare Integrate

13 129 Elementele logice Cea mai mică unitate logică din arhitectura Cyclone II este elementul logic (LE). Fiecare astfel de element este constituit din următoarele: tabelă de căutare cu 4 intrări (LUT - look-up table), care este un generator de funcţii care poate implementa orice funcţie de 4 intrări un registru programabil lanţ de transport (carry chain connection) registru pentru lanţ de transport (register chain connection) abilitatea de a gestiona toate tipurile de interconexiuni, cum ar fi cele locale, pe rânduri, pe coloane sau directe. Blocuri de arii logice LAB Fiecare LAB constă din următoarele componente: 16 LE semnale de control: 2 semnale de clock, 2 semnale de enable clock, 2 semnale de clear de tip asincron, 1 semnal de clear sincron si un semnal de încărcare sincron. lanţ de transport LE registru lanţ de transport transferă ieşirea unui registru LE către registrul unui LE adiacent din cadrul unui LAB interconexiuni locale rolul acestora este de a transfera semnale între elementele logice din cadrul aceluiaşi LAB.

14 130 Fig. 7 Structura LAB Logic Array Blocks Fig 8. Diagrama bloc pentru placa DE2

15 Unelte de dezvoltare de la firma Altera - Quartus II web edition software Quartus II web edition este versiunea gratuită care se poate descărca de pe pagina de Internet a firmei Altera. Această versiune se poate folosi pentru următoarele familii de circuite: Cyclone, Cyclone II, Cyclone III, Cyclone IV şi Arria GX. (Circuite de tip FPGA) MAX (circuite de tip CPLD) Arria II GX (circuite FPGA=: EP2AGX45) Stratix III (circuite FPGA=: EP3SE50, EP3SL50, EP3SL70) Stratix II şi Stratix II GX (circuite FPGA): EP2S15, EP2SGX30) Stratix (circuite FPGA): EP1S10 Uneltele care se pot folosi sunt cele de introducere design, sinteză, verificare şi optimizare. Varianta completă a programului se referă la faptul că se pot dezvolta proiecte şi pentru circuitele performante ale firmei, timpul de compilare este mai mic şi este asigurat şi suportul pentru aplicaţiile multiprocessor, (Full license to Altera intellectual property (IP) base suite MegaCore functions). După lansarea programului Quartus II, se parcurg paşii care sunt necesari în vederea generării unui nou proiect și pe care îi vom prezenta în cele ce urmează.

16 Wizzard-ul de definire a proiectului constă din 5 pași: 132

17 133 a) se specifică directorul unde se va salva proiectul şi de asemenea numele şi entitatea de nivel înalt top-level entity. b) Se specifică fişierele proiectului c) Se alege circuitul pentru care se va face implementarea d) Se specifică alte unelte EDA care se vor folosi în acest proiect. e) Se revizuiesc setările făcute la paşii precedenți. La pasul 3 se alege tipul de circuit pentru care se va realiza proiectul după cum urmează:. Setările obținute se afișează în pasul 5:

18 134 Se validează setările proiectului folosind butonul Finish. Proiectul este în acest moment finalizat și urmează adăugarea fișierelor componente. Se utilizează opțiunea Project și Add/Remove Files in Project daca se dorește adăugarea de fișiere în proiect. Dacă dorim introducerea unui nou fișier, folosim opțiunea File/New/ - VHDL file. Implicit se deschide un fișier cu numele Vhdl1.vhd. Acesta se poate salva sub denumirea chaotic_counter.vhd. Următorul pas este acela de a defini un nou fișier de tip VHDL care va fi salvat sub denumirea chaotic_counter_top.vhd care reprezintă entitatea top level a proiectului. Fișierul scris în cod VHDL va conține: Fișiere de tip header library IEEE; use IEEE.STD_LOGIC_1164.ALL; În use proiect IEEE.STD_LOGIC_ARITH.ALL; se mai adaugă fișierele leddcd.vhd, counter.vhd și DE2_CLOCK.vhd care use conțin IEEE.STD_LOGIC_UNSIGNED.ALL; descrierea în cod VHDL pentru funcționarea led-urilor de pe placa, definirea unui numărator pe 32 de biți și respectiv generarea semnalului de clock. Definirea entității în care se introduc porturile de intrare/ieșire Fișierul top-level va conține codul VHDL corespunzător interconectării acestor entity chaotic_counter is entități pentru a realiza design-ul dorit. (Se folosesc noțiunile de component și de Port ( CLK, LOAD : in std_logic; -- load pentru incarcare stare pornire port map pentru mapare a porturilor) DOUT : out std_logic_vector(6 downto 0)); end chaotic_counter; În pasul urmator se compilează designul folosind opțiunea Processing/Start Compilation în acest moment se parcurge întreg fluxul de proiectare. La sfarșitul Arhitectura (comportamentul modulului) - În cadrul blocului process condiționarea se face de către semnalul de CLK și acestui proces se va afișa un raport care conține date referitoare la numărul de respectiv semnalul de LOAD. În acest bloc, instrucțiunile se execută secvențial.

19 135 elemente logice care se folosesc, numărul de pini și respectiv procentul din numărul total de resurse. Rezultatul va fi un fișier de configurare cu extensia *.sof. Folosind opțiunea Tools/Netlist Viewers/ RTL Viewer se poate vizualiza schema corespunzătoare proiectului implementat. La pasul următor vom mapa pinii corespunzător plăcii de dezvoltare DE2 (care se obțin din documentația Altera referitoare la placa DE2 fișierul DE2_pin_assignments.csv). Pentru aceasta folosim comanda Assignments/Pins. După completarea locațiilor pinilor, se recompilează proiectul, obținând un nou fișier de configurare care poate fi testat pe placă.

20 136 Simularea design-ului Se introduce în proiect un nou fișier de tip Vector Waveform File. Acesta se va salva sub denumirea chaotic_counter_top.vwf. Se face click dreapta pe opțiunea Name. Se alege opțiunea Insert/Insert Node or Bus si vom obține următoarea fereastră: Cu opțiunea Node Finder obținem următoarea fereastră:

21 137 Pentru a popula lista Nodes Found folosim opțiunea List Se selectează și apoi se validează lista semnalelor. Pentru simularea modulului de counter haotic, se selectează ca top level modulul chaotic_counter.vhd. Se selectează semnalele CLK, LOAD și respectiv DOUT după care urmează procedeul de simulare cu opțiunea Start Simulation. (Se schimbă valorile semnalelor de CLK cu click dreapta Value/CLK și respectiv pentru semnalul de LOAD se generează un semnal cu 1 pentru inițializare și apoi cu valoarea logică 0 pentru a calcula datele de ieșire conform codului VHDL)

22 138 Modul de afișare se poate schimba, astfel încât mărimile afișate să fie în format ASCII, binar, hexazecimal, binar, octal, zecimal cu semn și fără semn, etc. Rezultatul simulării este după cum urmează în figura de mai jos: În această figură se poate observa funcționarea numărătorului haotic, prin afișarea rezultatelor pentru semnalul DOUT. Pentru verificarea designului trebuie încărcat fișierul chaotic_counter_top.sof pe placa de test DE2, folosind portul USB. Se folosește opțiunea Tools/Programmer, având placa conectată la calculator prin intermediul portului USB.

23 139 Se folosește portul USB-Blaster care permite configurarea circuitului FPGA prin intermediul cablului USB. Se folosește butonul Start care pornește procesul de configurare. Când bara de Progress a ajuns la 100%, circuitul FPGA a fost programat. Pe primul LED de pe placa de dezvoltare se vor afișa valorile (în hexazecimal) pentru număratorul haotic. Se va reseta numărarea folosind butonul KEY 3 de pe placa de dezvoltare. Starea inițială este dată de valoarea 78 în hexazecimal. Bibliografie (Altera, DE2 User Manual) - DE2_UserManual.pdf (Altera, Quartus II web edition) edition/qts-we-index.html (Altera, Quick Start) mnl_qts_quick_start.pdf Guide for Quartus II Software - Quick Start (Chua, 1998) L.O. Chua, CNN: A paradigm for complexity, World Scientific, Singapore, (Dogaru, 2009a) R. Dogaru, Hybrid Cellular Automata as Pseudo-Random Number Generators with Binary Synchronization Property, in Proceedings of the International Symposium on Signals Circuits and Systems (ISSCS 09), Iasi Romania, July 2009, pp

24 140 (Dogaru, 2009b) R. Dogaru, Hybrid Cellular Automata as Pseudo-Random Number Generators with Binary Synchronization Property, in Proceedings of the International Symposium on Signals Circuits and Systems (ISSCS 09), Iasi Romania, July 2009, pp (Dogaru & Dogaru,2010e) I. Dogaru, R. Dogaru - Algebraic Normal Form for Rapid Prototyping of Elementary Hybrid Cellular Automata in FPGA in Proceedings of ISEEE2010, 3th International Symposium on Electrical and Electronics Engineering, Galati, Romania, September , Vol. 1, pp (Dogaru et al, 2010a) Dogaru, R.; Dogaru, I.; Hyongsuk Kim; Sungsik Shin; Oubong Gwun; "Binary synchronization of chaos in hybrid cellular automata for low complexity image compression and transmission",in Proceedings of 2010, 12th International Workshop on Cellular Nanoscale Networks and Their Applications (CNNA), Page(s): 1-7. (Dogaru et al, 2010b) R. Dogaru, I. Dogaru, and H. Kim, Binary chaos synchronization in elementary cellular automata, Int. J. Bifurcation and Chaos, vol. 19, September 2009, Vol. 19, pp (Dogaru et al, 2010c) R. Dogaru, I. Dogaru, H. Kim, Chaotic Scan: A Low Complexity Video Transmission System for Efficiently Sending Relevant Image Features, in IEEE Trans. on Circuits and Systems for Video Technology, Vol.20, February 2010, pp (Dogaru et al, 2010d) I. Dogaru, R. Dogaru, C. Damian - FPGA Implementation Of Chaotic Cellular Automaton with Binary Synchronization Property in Proceedings of COMM2010, 8th Intl. Conference on Communications, Bucharest, June , Vol. 1, pp (Ganguly et al, 2003) N. Ganguly, B. Sikdar, A. Deutsch, G. Canright, and P. Chaudhuri, A Survey on Cellular Automata, Centre for High Performance Computing, Dresden University of Technology, Tech. Rep. 9, (Ronjom et al, 2007) S. Ronjom, M. Abdelraheem and L. E. Danielsen, "TT and ANF Representations of Boolean functions", in Online Database of Boolean Functions, Available:

Titlul lucrării propuse pentru participarea la concursul pe tema securității informatice

Titlul lucrării propuse pentru participarea la concursul pe tema securității informatice Titlul lucrării propuse pentru participarea la concursul pe tema securității informatice "Îmbunătăţirea proceselor şi activităţilor educaţionale în cadrul programelor de licenţă şi masterat în domeniul

More information

Textul si imaginile din acest document sunt licentiate. Codul sursa din acest document este licentiat. Attribution-NonCommercial-NoDerivs CC BY-NC-ND

Textul si imaginile din acest document sunt licentiate. Codul sursa din acest document este licentiat. Attribution-NonCommercial-NoDerivs CC BY-NC-ND Textul si imaginile din acest document sunt licentiate Attribution-NonCommercial-NoDerivs CC BY-NC-ND Codul sursa din acest document este licentiat Public-Domain Esti liber sa distribui acest document

More information

Metrici LPR interfatare cu Barix Barionet 50 -

Metrici LPR interfatare cu Barix Barionet 50 - Metrici LPR interfatare cu Barix Barionet 50 - Barionet 50 este un lan controller produs de Barix, care poate fi folosit in combinatie cu Metrici LPR, pentru a deschide bariera atunci cand un numar de

More information

Versionare - GIT ALIN ZAMFIROIU

Versionare - GIT ALIN ZAMFIROIU Versionare - GIT ALIN ZAMFIROIU Controlul versiunilor - necesitate Caracterul colaborativ al proiectelor; Backup pentru codul scris Istoricul modificarilor Terminologie și concepte VCS Version Control

More information

MS POWER POINT. s.l.dr.ing.ciprian-bogdan Chirila

MS POWER POINT. s.l.dr.ing.ciprian-bogdan Chirila MS POWER POINT s.l.dr.ing.ciprian-bogdan Chirila chirila@cs.upt.ro http://www.cs.upt.ro/~chirila Pornire PowerPoint Pentru accesarea programului PowerPoint se parcurg următorii paşi: Clic pe butonul de

More information

2. Setări configurare acces la o cameră web conectată într-un router ZTE H218N sau H298N

2. Setări configurare acces la o cameră web conectată într-un router ZTE H218N sau H298N Pentru a putea vizualiza imaginile unei camere web IP conectată într-un router ZTE H218N sau H298N, este necesară activarea serviciului Dinamic DNS oferit de RCS&RDS, precum și efectuarea unor setări pe

More information

Structura și Organizarea Calculatoarelor. Titular: BĂRBULESCU Lucian-Florentin

Structura și Organizarea Calculatoarelor. Titular: BĂRBULESCU Lucian-Florentin Structura și Organizarea Calculatoarelor Titular: BĂRBULESCU Lucian-Florentin Chapter 3 ADUNAREA ȘI SCĂDEREA NUMERELOR BINARE CU SEMN CONȚINUT Adunarea FXP în cod direct Sumator FXP în cod direct Scăderea

More information

Procesarea Imaginilor

Procesarea Imaginilor Procesarea Imaginilor Curs 11 Extragerea informańiei 3D prin stereoviziune Principiile Stereoviziunii Pentru observarea lumii reale avem nevoie de informańie 3D Într-o imagine avem doar două dimensiuni

More information

Ghid identificare versiune AWP, instalare AWP şi verificare importare certificat în Store-ul de Windows

Ghid identificare versiune AWP, instalare AWP şi verificare importare certificat în Store-ul de Windows Ghid identificare versiune AWP, instalare AWP 4.5.4 şi verificare importare certificat în Store-ul de Windows Data: 28.11.14 Versiune: V1.1 Nume fişiser: Ghid identificare versiune AWP, instalare AWP 4-5-4

More information

Semnale şi sisteme. Facultatea de Electronică şi Telecomunicaţii Departamentul de Comunicaţii (TC)

Semnale şi sisteme. Facultatea de Electronică şi Telecomunicaţii Departamentul de Comunicaţii (TC) Semnale şi sisteme Facultatea de Electronică şi Telecomunicaţii Departamentul de Comunicaţii (TC) http://shannon.etc.upt.ro/teaching/ssist/ 1 OBIECTIVELE CURSULUI Disciplina îşi propune să familiarizeze

More information

La fereastra de autentificare trebuie executati urmatorii pasi: 1. Introduceti urmatoarele date: Utilizator: - <numarul dvs de carnet> (ex: "9",

La fereastra de autentificare trebuie executati urmatorii pasi: 1. Introduceti urmatoarele date: Utilizator: - <numarul dvs de carnet> (ex: 9, La fereastra de autentificare trebuie executati urmatorii pasi: 1. Introduceti urmatoarele date: Utilizator: - (ex: "9", "125", 1573" - se va scrie fara ghilimele) Parola: -

More information

Figura x.1 Ecranul de pornire al mediului de dezvoltare

Figura x.1 Ecranul de pornire al mediului de dezvoltare x. Mediul de dezvoltare MICROSOFT VISUAL C++ În cadrul acestui capitol vom prezenta Microsoft Visual C++, din cadrul suitei Microsoft Visual Studio 2012, care este un mediu de programare care suportă dezvoltarea

More information

ARBORI AVL. (denumiti dupa Adelson-Velskii si Landis, 1962)

ARBORI AVL. (denumiti dupa Adelson-Velskii si Landis, 1962) ARBORI AVL (denumiti dupa Adelson-Velskii si Landis, 1962) Georgy Maximovich Adelson-Velsky (Russian: Гео ргий Макси мович Адельсо н- Ве льский; name is sometimes transliterated as Georgii Adelson-Velskii)

More information

Circuite Logice Programabile LABORATOR 1

Circuite Logice Programabile LABORATOR 1 Circuite Logice Programabile LABORATOR 1 INTRODUCERE ÎN MEDIUL INTEGRAT XILINX ISE. PROIECTAREA UNUI SUMATOR PE UN BIT INTRODUCERE Softwarele CAD (Computer Aided Design) de proiectare cu circuite logice

More information

2. Setări configurare acces la o cameră web conectată într-un echipament HG8121H cu funcție activă de router

2. Setări configurare acces la o cameră web conectată într-un echipament HG8121H cu funcție activă de router Pentru a putea vizualiza imaginile unei camere web IP conectată într-un echipament Huawei HG8121H, este necesară activarea serviciului Dinamic DNS oferit de RCS&RDS, precum și efectuarea unor setări pe

More information

Update firmware aparat foto

Update firmware aparat foto Update firmware aparat foto Mulţumim că aţi ales un produs Nikon. Acest ghid descrie cum să efectuaţi acest update de firmware. Dacă nu aveţi încredere că puteţi realiza acest update cu succes, acesta

More information

Constructii sintetizabile in verilog

Constructii sintetizabile in verilog Constructii sintetizabile in verilog Introducere Programele verilog se împart în două categorii: cod pentru simulare și cod sintetizabil. Codul scris pentru simulare (testul) nu este sintetizabil. Codul

More information

Reflexia şi refracţia luminii. Aplicaţii. Valerica Baban

Reflexia şi refracţia luminii. Aplicaţii. Valerica Baban Reflexia şi refracţia luminii. Aplicaţii. Sumar 1. Indicele de refracţie al unui mediu 2. Reflexia şi refracţia luminii. Legi. 3. Reflexia totală 4. Oglinda plană 5. Reflexia şi refracţia luminii în natură

More information

Lucrare de laborator nr. 13 Sinteza circuitelor cu programul Xilinx ISE

Lucrare de laborator nr. 13 Sinteza circuitelor cu programul Xilinx ISE 1. Scopul lucrării Lucrare de laborator nr. 13 Sinteza circuitelor cu programul Xilinx ISE Însuşirea cunoştinţelor privind sinteza circuitelor descrise în VHDL şi utilizarea în acest scop a programului

More information

.. REGISTRE Registrele sunt circuite logice secvenţiale care primesc, stochează şi transferă informaţii sub formă binară. Un registru este format din mai multe celule bistabile de tip RS, JK sau D şi permite

More information

Subiecte Clasa a VI-a

Subiecte Clasa a VI-a (40 de intrebari) Puteti folosi spatiile goale ca ciorna. Nu este de ajuns sa alegeti raspunsul corect pe brosura de subiecte, ele trebuie completate pe foaia de raspuns in dreptul numarului intrebarii

More information

DESCRIEREA ÎN VHDL A CIRCUITELOR SECVENȚIALE. DEFINIREA CONSTRÂNGERILOR DE TIMP

DESCRIEREA ÎN VHDL A CIRCUITELOR SECVENȚIALE. DEFINIREA CONSTRÂNGERILOR DE TIMP Circuite Logice Programabile LABORATOR 4 DESCRIEREA ÎN VHDL A CIRCUITELOR SECVENȚIALE. DEFINIREA CONSTRÂNGERILOR DE TIMP SCOPUL LUCRĂRII Logica secvențială este termenul generic folosit pentru proiectele

More information

Modalitǎţi de clasificare a datelor cantitative

Modalitǎţi de clasificare a datelor cantitative Modalitǎţi de clasificare a datelor cantitative Modul de stabilire a claselor determinarea pragurilor minime şi maxime ale fiecǎrei clase - determinǎ modul în care sunt atribuite valorile fiecǎrei clase

More information

Reţele Neuronale Artificiale în MATLAB

Reţele Neuronale Artificiale în MATLAB Reţele Neuronale Artificiale în MATLAB Programul MATLAB dispune de o colecţie de funcţii şi interfeţe grafice, destinate lucrului cu Reţele Neuronale Artificiale, grupate sub numele de Neural Network Toolbox.

More information

MODELUL UNUI COMUTATOR STATIC DE SURSE DE ENERGIE ELECTRICĂ FĂRĂ ÎNTRERUPEREA ALIMENTĂRII SARCINII

MODELUL UNUI COMUTATOR STATIC DE SURSE DE ENERGIE ELECTRICĂ FĂRĂ ÎNTRERUPEREA ALIMENTĂRII SARCINII MODELUL UNUI COMUTATOR STATIC DE SURSE DE ENERGIE ELECTRICĂ FĂRĂ ÎNTRERUPEREA ALIMENTĂRII SARCINII Adrian Mugur SIMIONESCU MODEL OF A STATIC SWITCH FOR ELECTRICAL SOURCES WITHOUT INTERRUPTIONS IN LOAD

More information

REVISTA NAŢIONALĂ DE INFORMATICĂ APLICATĂ INFO-PRACTIC

REVISTA NAŢIONALĂ DE INFORMATICĂ APLICATĂ INFO-PRACTIC REVISTA NAŢIONALĂ DE INFORMATICĂ APLICATĂ INFO-PRACTIC Anul II Nr. 7 aprilie 2013 ISSN 2285 6560 Referent ştiinţific Lector univ. dr. Claudiu Ionuţ Popîrlan Facultatea de Ştiinţe Exacte Universitatea din

More information

Nume şi Apelativ prenume Adresa Număr telefon Tip cont Dobânda Monetar iniţial final

Nume şi Apelativ prenume Adresa Număr telefon  Tip cont Dobânda Monetar iniţial final Enunt si descriere aplicatie. Se presupune ca o organizatie (firma, banca, etc.) trebuie sa trimita scrisori prin posta unui numar (n=500, 900,...) foarte mare de clienti pe care sa -i informeze cu diverse

More information

Propuneri pentru teme de licență

Propuneri pentru teme de licență Propuneri pentru teme de licență Departament Automatizări Eaton România Instalație de pompare cu rotire în funcție de timpul de funcționare Tablou electric cu 1 pompă pilot + 3 pompe mari, cu rotirea lor

More information

Excel Advanced. Curriculum. Școala Informală de IT. Educație Informală S.A.

Excel Advanced. Curriculum. Școala Informală de IT. Educație Informală S.A. Excel Advanced Curriculum Școala Informală de IT Tel: +4.0744.679.530 Web: www.scoalainformala.ro / www.informalschool.com E-mail: info@scoalainformala.ro Cuprins 1. Funcții Excel pentru avansați 2. Alte

More information

Itemi Sisteme de Operare

Itemi Sisteme de Operare Itemi Sisteme de Operare 1. Pentru a muta un dosar (folder) de pe partiţia C: pe partiţia D: folosim: a. New Folder b. Ctrl + C din bara de instrumente şi Copy; c. Ctrl + X şi Ctrl + V; d. Edit Paste;

More information

Dispozitive Electronice şi Electronică Analogică Suport curs 02 Metode de analiză a circuitelor electrice. Divizoare rezistive.

Dispozitive Electronice şi Electronică Analogică Suport curs 02 Metode de analiză a circuitelor electrice. Divizoare rezistive. . egimul de curent continuu de funcţionare al sistemelor electronice În acest regim de funcţionare, valorile mărimilor electrice ale sistemului electronic sunt constante în timp. Aşadar, funcţionarea sistemului

More information

ISBN-13:

ISBN-13: Regresii liniare 2.Liniarizarea expresiilor neliniare (Steven C. Chapra, Applied Numerical Methods with MATLAB for Engineers and Scientists, 3rd ed, ISBN-13:978-0-07-340110-2 ) Există cazuri în care aproximarea

More information

Prelucrarea numerică a semnalelor

Prelucrarea numerică a semnalelor Prelucrarea numerică a semnalelor Assoc.Prof. Lăcrimioara GRAMA, Ph.D. http://sp.utcluj.ro/teaching_iiiea.html 27 februarie 2017 Lăcrimioara GRAMA (sp.utcluj.ro) Prelucrarea numerică a semnalelor 27 februarie

More information

Managementul referinţelor cu

Managementul referinţelor cu TUTORIALE DE CULTURA INFORMAŢIEI Citarea surselor de informare cu instrumente software Managementul referinţelor cu Bibliotecar Lenuţa Ursachi PE SCURT Este gratuit Poţi adăuga fişiere PDF Poţi organiza,

More information

Calculatoare Numerice II Interfaţarea unui dispozitiv de teleghidare radio cu portul paralel (MGSH Machine Guidance SHell) -proiect-

Calculatoare Numerice II Interfaţarea unui dispozitiv de teleghidare radio cu portul paralel (MGSH Machine Guidance SHell) -proiect- Universitatea Politehnica Bucureşti Facultatea de Automaticăşi Calculatoare Calculatoare Numerice II Interfaţarea unui dispozitiv de teleghidare radio cu portul paralel (MGSH Machine Guidance SHell) -proiect-

More information

GHID DE TERMENI MEDIA

GHID DE TERMENI MEDIA GHID DE TERMENI MEDIA Definitii si explicatii 1. Target Group si Universe Target Group - grupul demografic care a fost identificat ca fiind grupul cheie de consumatori ai unui brand. Toate activitatile

More information

PROIECTAREA CU CIRCUITE LOGICE PROGRAMABILE

PROIECTAREA CU CIRCUITE LOGICE PROGRAMABILE Arhitectura calculatoarelor - Lucrarea de laborator Nr. 6 1 PROIECTAREA CU CIRCUITE LOGICE PROGRAMABILE 1. Scopul lucrării Lucrarea prezintă principalele tipuri de circuite programabile, etapele din cadrul

More information

Documentaţie Tehnică

Documentaţie Tehnică Documentaţie Tehnică Verificare TVA API Ultima actualizare: 27 Aprilie 2018 www.verificaretva.ro 021-310.67.91 / 92 info@verificaretva.ro Cuprins 1. Cum funcţionează?... 3 2. Fluxul de date... 3 3. Metoda

More information

Mecanismul de decontare a cererilor de plata

Mecanismul de decontare a cererilor de plata Mecanismul de decontare a cererilor de plata Autoritatea de Management pentru Programul Operaţional Sectorial Creşterea Competitivităţii Economice (POS CCE) Ministerul Fondurilor Europene - Iunie - iulie

More information

Generatorul cu flux axial cu stator interior nemagnetic-model de laborator.

Generatorul cu flux axial cu stator interior nemagnetic-model de laborator. Generatorul cu flux axial cu stator interior nemagnetic-model de laborator. Pentru identificarea performanţelor la funţionarea în sarcină la diferite trepte de turaţii ale generatorului cu flux axial fară

More information

Arbori. Figura 1. struct ANOD { int val; ANOD* st; ANOD* dr; }; #include <stdio.h> #include <conio.h> struct ANOD { int val; ANOD* st; ANOD* dr; }

Arbori. Figura 1. struct ANOD { int val; ANOD* st; ANOD* dr; }; #include <stdio.h> #include <conio.h> struct ANOD { int val; ANOD* st; ANOD* dr; } Arbori Arborii, ca şi listele, sunt structuri dinamice. Elementele structurale ale unui arbore sunt noduri şi arce orientate care unesc nodurile. Deci, în fond, un arbore este un graf orientat degenerat.

More information

APLICAŢIA 7 CIRCUITE SECVENŢIALE REGISTRUL CU ÎNCĂRCARE PARALELĂ

APLICAŢIA 7 CIRCUITE SECVENŢIALE REGISTRUL CU ÎNCĂRCARE PARALELĂ APLICAŢIA 7 CIRCUITE SECVENŢIALE REGISTRUL CU ÎNCĂRCARE PARALELĂ 1. Rezumat Acest laborator își propune implementarea unui cicuit secvențial simplu: registrul pe 4 biți cu încărcare paralelă. Pentru aceasta

More information

Auditul financiar la IMM-uri: de la limitare la oportunitate

Auditul financiar la IMM-uri: de la limitare la oportunitate Auditul financiar la IMM-uri: de la limitare la oportunitate 3 noiembrie 2017 Clemente Kiss KPMG in Romania Agenda Ce este un audit la un IMM? Comparatie: audit/revizuire/compilare Diferente: audit/revizuire/compilare

More information

Ghid pentru configurarea şi utilizarea aplicaţiei clicksign Demo

Ghid pentru configurarea şi utilizarea aplicaţiei clicksign Demo Ghid pentru configurarea şi utilizarea aplicaţiei clicksign Demo 2.6.9.223 Cuprins 1 Cadru general...2 2 Obţinerea unui certificat digital...3 3 Configurarea aplicaţiei clicksign...5 4 Utilizarea aplicaţiei

More information

Aspecte controversate în Procedura Insolvenţei şi posibile soluţii

Aspecte controversate în Procedura Insolvenţei şi posibile soluţii www.pwc.com/ro Aspecte controversate în Procedura Insolvenţei şi posibile soluţii 1 Perioada de observaţie - Vânzarea de stocuri aduse în garanţie, în cursul normal al activității - Tratamentul leasingului

More information

Olimpiad«Estonia, 2003

Olimpiad«Estonia, 2003 Problema s«pt«m nii 128 a) Dintr-o tabl«p«trat«(2n + 1) (2n + 1) se ndep«rteaz«p«tr«telul din centru. Pentru ce valori ale lui n se poate pava suprafata r«mas«cu dale L precum cele din figura de mai jos?

More information

PROIECT. La Baze de date. Evidența activității pentru o firmă IT. Îndrumător: ș. l. dr. ing. Mirela Danubianu. Efectuat de: Grigoriev Sergiu gr.

PROIECT. La Baze de date. Evidența activității pentru o firmă IT. Îndrumător: ș. l. dr. ing. Mirela Danubianu. Efectuat de: Grigoriev Sergiu gr. PROIECT La Baze de date Evidența activității pentru o firmă IT Îndrumător: ș. l. dr. ing. Mirela Danubianu Efectuat de: Grigoriev Sergiu gr. 1131B Suceava 2011 Cuprins 1. DESCRIERE 3 2. MODELAREA CONCEPTUALĂ

More information

Mai bine. Pentru c putem.

Mai bine. Pentru c putem. 1 CUPRINS: 1. SUMAR APLICAŢIE...... 3 1.1 Introducere... 3 1.2 Tipul de aplicaţie... 3 2. SPECIFICAŢII FUNCŢIONALE... 3 3. INSTALARE... 3 3.1 Introducere... 3 3.2 Ce trebuie să verificaţi înainte de a

More information

Arria V Timing Optimization Guidelines

Arria V Timing Optimization Guidelines Arria V Timing Optimization Guidelines AN-652-1. Application Note This document presents timing optimization guidelines for a set of identified critical timing path scenarios in Arria V FPGA designs. Timing

More information

LINEAR VOLTAGE-TO-CURRENT CONVERTER WITH SMALL AREA

LINEAR VOLTAGE-TO-CURRENT CONVERTER WITH SMALL AREA BULETINUL INSTITUTULUI POLITEHNIC DIN IAŞI Publicat de Universitatea Tehnică Gheorghe Asachi din Iaşi Tomul LXI (LXV), Fasc. 1, 2015 Secţia ELECTROTEHNICĂ. ENERGETICĂ. ELECTRONICĂ LINEAR VOLTAGE-TO-CURRENT

More information

Mods euro truck simulator 2 harta romaniei by elyxir. Mods euro truck simulator 2 harta romaniei by elyxir.zip

Mods euro truck simulator 2 harta romaniei by elyxir. Mods euro truck simulator 2 harta romaniei by elyxir.zip Mods euro truck simulator 2 harta romaniei by elyxir Mods euro truck simulator 2 harta romaniei by elyxir.zip 26/07/2015 Download mods euro truck simulator 2 harta Harta Romaniei pentru Euro Truck Simulator

More information

INTEROGĂRI ÎN SQL SERVER

INTEROGĂRI ÎN SQL SERVER INTEROGĂRI ÎN SQL SERVER Principala operaţie efectuată într-o bază de date este operaţia de extragere a datelor, care se realizează cu ajutorul unei clauze SELECT. SELECT Clauza SELECT are o sintaxă foarte

More information

Metoda BACKTRACKING. prof. Jiduc Gabriel

Metoda BACKTRACKING. prof. Jiduc Gabriel Metoda BACKTRACKING prof. Jiduc Gabriel Un algoritm backtracking este un algoritm de căutare sistematică și exhausivă a tuturor soluțiilor posibile, dintre care se poate alege apoi soluția optimă. Problemele

More information

Proceduri stocate. Crearea procedurilor stocate. Varianta 1 În Management Studio se dă clic pe New Query ca în imaginea de mai jos: Fig.

Proceduri stocate. Crearea procedurilor stocate. Varianta 1 În Management Studio se dă clic pe New Query ca în imaginea de mai jos: Fig. Proceduri stocate Crearea procedurilor stocate. Varianta 1 În Management Studio se dă clic pe New Query ca în imaginea de mai jos: Fig. 1 Odată cu deschiderea editorului SQL, apare și bara de instrumente

More information

Lucrarea Nr.1. Sisteme de operare. Generalitati

Lucrarea Nr.1. Sisteme de operare. Generalitati Lucrarea Nr.1 Sisteme de operare. Generalitati Scopul lucrarii Lucrarea îsi propune familiarizarea studentilor cu sistemele de operare disponibile în laborator, respectiv acele sisteme de operare cu ajutorul

More information

Studiul numărătoarelor

Studiul numărătoarelor Studiul numărătoarelor În acest laborator se va studia funcţionarea unui numărător programabil alcătuit din decodificatorul 74LS138 şi numărătorul hexazecimal SN74193 (CDB4193). Numărătoare: generalităţi

More information

CMOS DELAY CELL WITH LARGE TUNING RANGE

CMOS DELAY CELL WITH LARGE TUNING RANGE BULETINUL INSTITUTULUI POLITEHNIC DIN IAŞI Publicat de Universitatea Tehnică Gheorghe Asachi din Iaşi Volumul 62 (66), Numărul 2, 2016 Secţia ELECTROTEHNICĂ. ENERGETICĂ. ELECTRONICĂ CMOS DELAY CELL WITH

More information

9. Memoria. Procesorul are o memorie cu o arhitectură pe două niveluri pentru memoria de program și de date.

9. Memoria. Procesorul are o memorie cu o arhitectură pe două niveluri pentru memoria de program și de date. 9. Memoria Procesorul are o memorie cu o arhitectură pe două niveluri pentru memoria de program și de date. Primul nivel conține memorie de program cache (L1P) și memorie de date cache (L1D). Al doilea

More information

Medii de proiectare VLSI LABORATOR 8 Afişaj multiplexat

Medii de proiectare VLSI LABORATOR 8 Afişaj multiplexat SCOPUL LUCRĂRII Medii de proiectare VLSI LABORATOR 8 Afişaj multiplexat Se cere proiectarea unui multipol logic care să permită afişarea unui număr reprezentat pe 16 biţi pe afişoarele 7-segmente al plăcii

More information

INFORMAȚII DESPRE PRODUS. FLEXIMARK Stainless steel FCC. Informații Included in FLEXIMARK sample bag (article no. M )

INFORMAȚII DESPRE PRODUS. FLEXIMARK Stainless steel FCC. Informații Included in FLEXIMARK sample bag (article no. M ) FLEXIMARK FCC din oțel inoxidabil este un sistem de marcare personalizată în relief pentru cabluri și componente, pentru medii dure, fiind rezistent la acizi și la coroziune. Informații Included in FLEXIMARK

More information

D în această ordine a.î. AB 4 cm, AC 10 cm, BD 15cm

D în această ordine a.î. AB 4 cm, AC 10 cm, BD 15cm Preparatory Problems 1Se dau punctele coliniare A, B, C, D în această ordine aî AB 4 cm, AC cm, BD 15cm a) calculați lungimile segmentelor BC, CD, AD b) determinați distanța dintre mijloacele segmentelor

More information

Lucrarea de laborator nr. 4

Lucrarea de laborator nr. 4 Metode merice - Lucrarea de laborator 4 Lucrarea de laborator nr. 4 I. Scopul lucrării Elemente de programare în MAPLE II. III. Conţinutul lucrării 1. Atribuirea. Decizia. Structuri repetitive. 2. Proceduri

More information

APLICAŢIA 4 MINIMIZAREA FUNCŢIILOR LOGICE METODA KARNAUGH

APLICAŢIA 4 MINIMIZAREA FUNCŢIILOR LOGICE METODA KARNAUGH APLICAŢIA 4 MINIMIZAREA FUNCŢIILOR LOGICE METODA KARNAUGH 1. Rezumat Acest laborator își propune prezentarea succintă a tehnicii de minimizare bazate pe diagrame Karnaugh, precum și sinteza cu porți logice

More information

5.3 OSCILATOARE SINUSOIDALE

5.3 OSCILATOARE SINUSOIDALE 5.3 OSCILATOARE SINUSOIDALE 5.3.1. GENERALITĂŢI Oscilatoarele sunt circuite electronice care generează la ieşire o formă de undă repetitivă, cu frecvenţă proprie, fără a fi necesar un semnal de intrare

More information

X-Fit S Manual de utilizare

X-Fit S Manual de utilizare X-Fit S Manual de utilizare Compatibilitate Acest produs este compatibil doar cu dispozitivele ce au următoarele specificații: ios: Versiune 7.0 sau mai nouă, Bluetooth 4.0 Android: Versiune 4.3 sau mai

More information

Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic

Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Proiect nr. 154/323 cod SMIS 4428 cofinanțat de prin Fondul European de Dezvoltare Regională Investiții pentru viitorul

More information

Managementul Proiectelor Software Metode de dezvoltare

Managementul Proiectelor Software Metode de dezvoltare Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Managementul Proiectelor Software Metode de dezvoltare 2 Metode structurate (inclusiv metodele OO) O mulțime de pași și

More information

ANTICOLLISION ALGORITHM FOR V2V AUTONOMUOS AGRICULTURAL MACHINES ALGORITM ANTICOLIZIUNE PENTRU MASINI AGRICOLE AUTONOME TIP V2V (VEHICLE-TO-VEHICLE)

ANTICOLLISION ALGORITHM FOR V2V AUTONOMUOS AGRICULTURAL MACHINES ALGORITM ANTICOLIZIUNE PENTRU MASINI AGRICOLE AUTONOME TIP V2V (VEHICLE-TO-VEHICLE) ANTICOLLISION ALGORITHM FOR VV AUTONOMUOS AGRICULTURAL MACHINES ALGORITM ANTICOLIZIUNE PENTRU MASINI AGRICOLE AUTONOME TIP VV (VEHICLE-TO-VEHICLE) 457 Florin MARIAŞIU*, T. EAC* *The Technical University

More information

VIRTUAL INSTRUMENTATION IN THE DRIVE SUBSYSTEM MONITORING OF A MOBIL ROBOT WITH GESTURE COMMANDS

VIRTUAL INSTRUMENTATION IN THE DRIVE SUBSYSTEM MONITORING OF A MOBIL ROBOT WITH GESTURE COMMANDS BULETINUL INSTITUTULUI POLITEHNIC DIN IAŞI Publicat de Universitatea Tehnică Gheorghe Asachi din Iaşi Tomul LIV (LVIII), Fasc. 3-4, 2008 Secţia AUTOMATICĂ şi CALCULATOARE VIRTUAL INSTRUMENTATION IN THE

More information

În continuare vom prezenta unele dintre problemele de calcul ale numerelor Fibonacci.

În continuare vom prezenta unele dintre problemele de calcul ale numerelor Fibonacci. O condiţie necesară şi suficientă ca un număr să fie număr Fibonacci Autor: prof. Staicu Ovidiu Ninel Colegiul Economic Petre S. Aurelian Slatina, jud. Olt 1. Introducere Propuse de Leonardo Pisa în 1202,

More information

Noţiuni introductive privind pachetul software OrCAD

Noţiuni introductive privind pachetul software OrCAD TEHNICI CAD PENTRU MODULE ELECTRONICE LUCRAREA DE LABORATOR nr. 2 Noţiuni introductive privind pachetul software OrCAD I. Scopul lucrării: Scopul lucrării de laborator nr. 1 este de a realiza o introducere

More information

Laborator 1. Programare declarativă. Programare logică. Prolog. SWI-Prolog

Laborator 1. Programare declarativă. Programare logică. Prolog. SWI-Prolog Laborator 1 Programare declarativă O paradigmă de programare în care controlul fluxului de execuție este lăsat la latitudinea implementării limbajului, spre deosebire de programarea imperativă în care

More information

CERERI SELECT PE O TABELA

CERERI SELECT PE O TABELA SQL - 1 CERERI SELECT PE O TABELA 1 STUD MATR NUME AN GRUPA DATAN LOC TUTOR PUNCTAJ CODS ---- ------- -- ------ --------- ---------- ----- ------- ---- 1456 GEORGE 4 1141A 12-MAR-82 BUCURESTI 2890 11 1325

More information

INSTRUMENTE DE MARKETING ÎN PRACTICĂ:

INSTRUMENTE DE MARKETING ÎN PRACTICĂ: INSTRUMENTE DE MARKETING ÎN PRACTICĂ: Marketing prin Google CUM VĂ AJUTĂ ACEST CURS? Este un curs util tuturor celor implicați în coordonarea sau dezvoltarea de campanii de marketingși comunicare online.

More information

Metoda de programare BACKTRACKING

Metoda de programare BACKTRACKING Metoda de programare BACKTRACKING Sumar 1. Competenţe............................................ 3 2. Descrierea generală a metodei............................. 4 3......................... 7 4. Probleme..............................................

More information

Actualizarea firmware-ului pentru aparatul foto digital SLR

Actualizarea firmware-ului pentru aparatul foto digital SLR Actualizarea firmware-ului pentru aparatul foto digital SLR Vă mulţumim că aţi ales un produs Nikon. Acest ghid descrie cum să realizaţi actualizarea firmwareului. Dacă nu sunteţi sigur că puteţi realiza

More information

Software Process and Life Cycle

Software Process and Life Cycle Software Process and Life Cycle Drd.ing. Flori Naghiu Murphy s Law: Left to themselves, things tend to go from bad to worse. Principiile de dezvoltare software Principiul Calitatii : asigurarea gasirii

More information

Lucrare de laborator nr. 6 Modelarea structurală ordonată şi modelarea comportamentală în VHDL

Lucrare de laborator nr. 6 Modelarea structurală ordonată şi modelarea comportamentală în VHDL Lucrare de laborator nr. 6 Modelarea structurală ordonată şi modelarea comportamentală în VHDL 1. Scopul lucrării Însuşirea principiilor pentru descrierea circuitelor cu structură ordonată de componente

More information

The First TST for the JBMO Satu Mare, April 6, 2018

The First TST for the JBMO Satu Mare, April 6, 2018 The First TST for the JBMO Satu Mare, April 6, 08 Problem. Prove that the equation x +y +z = x+y +z + has no rational solutions. Solution. The equation can be written equivalently (x ) + (y ) + (z ) =

More information

PROIECTAREA UNUI CONTROLER DE TRAFIC. CREAREA PROIECTELOR MIXTE

PROIECTAREA UNUI CONTROLER DE TRAFIC. CREAREA PROIECTELOR MIXTE Circuite Logice Programabile LABORATOR 7 8 PROIECTAREA UNUI CONTROLER DE TRAFIC. CREAREA PROIECTELOR MIXTE SCOPUL LUCRĂRII În această lucrare se va proiecta un controler pentru un semafor care va coordona

More information

Laborator 07. Procesorul MIPS versiune pe 16 biți, cu un ciclu de ceas pe instrucțiune

Laborator 07. Procesorul MIPS versiune pe 16 biți, cu un ciclu de ceas pe instrucțiune Laborator 07 Procesorul MIPS versiune pe 16 biți, cu un ciclu de ceas pe instrucțiune Unitatea de Instruction Execute EX / Unitatea de Memorie MEM / Unitatea Write-Back WB 0. Resurse minimale necesare!

More information

Limbajul VHDL. Circuite integrate numerice. Limbajul VHDL

Limbajul VHDL. Circuite integrate numerice. Limbajul VHDL Limbajul VHDL VHDL este unul dintre limbajele standard folosite în industrie la ora actuală, pentru a descrie sistemele numerice. VHDL înseamnă VHSIC (Very High Speed Integrated Circuits) Hardware Description

More information

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article. L. Pyrgas, A. Kalantzopoulos* and E. Zigouris.

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article. L. Pyrgas, A. Kalantzopoulos* and E. Zigouris. Jestr Journal of Engineering Science and Technology Review 9 (5) (2016) 51-55 Research Article Design and Implementation of an Open Image Processing System based on NIOS II and Altera DE2-70 Board L. Pyrgas,

More information

LIDER ÎN AMBALAJE EXPERT ÎN SISTEMUL BRAILLE

LIDER ÎN AMBALAJE EXPERT ÎN SISTEMUL BRAILLE LIDER ÎN AMBALAJE EXPERT ÎN SISTEMUL BRAILLE BOBST EXPERTFOLD 80 ACCUBRAILLE GT Utilajul ACCUBRAILLE GT Bobst Expertfold 80 Aplicarea codului Braille pe cutii a devenit mai rapidă, ușoară și mai eficientă

More information

MANAGEMENTUL CALITĂȚII - MC. Proiect 5 Procedura documentată pentru procesul ales

MANAGEMENTUL CALITĂȚII - MC. Proiect 5 Procedura documentată pentru procesul ales MANAGEMENTUL CALITĂȚII - MC Proiect 5 Procedura documentată pentru procesul ales CUPRINS Procedura documentată Generalități Exemple de proceduri documentate Alegerea procesului pentru realizarea procedurii

More information

Multicore Multiprocesoare Cluster-e

Multicore Multiprocesoare Cluster-e Multicore Multiprocesoare Cluster-e O mare perioadă de timp, creearea de calculatoare puternice conectarea mai multor calculatoare de putere mică. Trebuie creat software care să știe să lucreze cu un număr

More information

Proiectarea şi Verificarea cu HDL a Circuitelor Digitale

Proiectarea şi Verificarea cu HDL a Circuitelor Digitale Proiectarea şi Verificarea cu HDL a Circuitelor Digitale Danuţ Burdia Facultatea de Electronică, Telecomunicaţii şi Tehnologia Informaţiei Universitatea Tehnică Gh. Asachi din Iaşi 1 Cuprins I. Introducere.

More information

Updating the Nomographical Diagrams for Dimensioning the Concrete Slabs

Updating the Nomographical Diagrams for Dimensioning the Concrete Slabs Acta Technica Napocensis: Civil Engineering & Architecture Vol. 57, No. 1 (2014) Journal homepage: http://constructii.utcluj.ro/actacivileng Updating the Nomographical Diagrams for Dimensioning the Concrete

More information

SPREADING CODES 1. INTRODUCTION. Ion POPA Societatea Română de Televiziune Studioul Teritorial Iaşi

SPREADING CODES 1. INTRODUCTION. Ion POPA Societatea Română de Televiziune Studioul Teritorial Iaşi SPREADING CODES Ion POPA Societatea Română de Televiziune Studioul Teritorial Iaşi REZUMAT. În această lucrare am realizat un studiu al codurilor de împrăştiere pe baza caruia am conceput mai multe programe

More information

COMUNICAȚII INFORMATIZARE

COMUNICAȚII INFORMATIZARE COMUNICAȚII INFORMATIZARE 120 Migrare servicii telefonie la Vodafone S-a asigurat suportul tehnic și s-a colaborat cu echipele Vodafone la portarea numerelor UPT și migrarea infrastructuri: 1200 linii

More information

Solutii avansate pentru testarea si diagnoza masinilor industriale.

Solutii avansate pentru testarea si diagnoza masinilor industriale. Solutii avansate pentru testarea si diagnoza masinilor industriale 15 ani de activitate in domeniul procesarii numerice a semnalelor Solutii de inalta acuratete pentru analiza sunetelor, vibratiilor si

More information

Transmiterea datelor prin reteaua electrica

Transmiterea datelor prin reteaua electrica PLC - Power Line Communications dr. ing. Eugen COCA Universitatea Stefan cel Mare din Suceava Facultatea de Inginerie Electrica PLC - Power Line Communications dr. ing. Eugen COCA Universitatea Stefan

More information

SISTEME CU CIRCUITE INTEGRATE DIGITALE (EA II) ELECTRONICĂ DIGITALĂ (CAL I) Prof.univ.dr.ing. Oniga Ștefan

SISTEME CU CIRCUITE INTEGRATE DIGITALE (EA II) ELECTRONICĂ DIGITALĂ (CAL I) Prof.univ.dr.ing. Oniga Ștefan SISTEME CU CIRCUITE INTEGRATE DIGITALE (EA II) ELECTRONICĂ DIGITALĂ (CAL I) Prof.univ.dr.ing. Oniga Ștefan Convertoare numeric analogice şi analog numerice Semnalele din lumea reală, preponderent analogice,

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

FPGA Circuits. na A simple FPGA model. nfull-adder realization

FPGA Circuits. na A simple FPGA model. nfull-adder realization FPGA Circuits na A simple FPGA model nfull-adder realization ndemos Presentation References n Altera Training Course Designing With Quartus-II n Altera Training Course Migrating ASIC Designs to FPGA n

More information

Proiectarea Sistemelor Software Complexe

Proiectarea Sistemelor Software Complexe Proiectarea Sistemelor Software Complexe Curs 3 Principii de Proiectare Orientată pe Obiecte Principiile de proiectare orientată pe obiecte au fost formulate pentru a servi ca reguli pentru evitarea proiectării

More information

ELECTRONICĂ DIGITALĂ VOL. II VERILOG HDL

ELECTRONICĂ DIGITALĂ VOL. II VERILOG HDL Dan Nicula Gheorghe Toacşe ELECTRONICĂ DIGITALĂ VOL. II VERILOG HDL EDITURA TEHNICĂ - 2005 II Această pagina va fi înlocuită. II Şi această pagina va fi înlocuită. III III Încă o pagina ce va fi înlocuită.

More information

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL Digital Circuits II Lecture 6 Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL References (Text Book): 1) Digital Electronics, 9 th editon,

More information

MASKING THE INSTRUCTIONS OF A MICROCONTROLLER USING A CHAOTIC POWER SUPPLY

MASKING THE INSTRUCTIONS OF A MICROCONTROLLER USING A CHAOTIC POWER SUPPLY BULETINUL INSTITUTULUI POLITEHNIC DIN IAŞI Publicat de Universitatea Tehnică Gheorghe Asachi din Iaşi Tomul LIX (LXIII), Fasc. 1, 2013 Secţia ELECTROTEHNICĂ. ENERGETICĂ. ELECTRONICĂ MASKING THE INSTRUCTIONS

More information

FINITE IMPULSE RESPONSE FILTER POWER REDUCTION THROUGH ARCHITECTURE OPTIMIZATION

FINITE IMPULSE RESPONSE FILTER POWER REDUCTION THROUGH ARCHITECTURE OPTIMIZATION U.P.B. Sci. Bull., Series C, Vol. 70, No. 1, 2008 ISSN 144-234x FINITE IMPULSE RESPONSE FILTER POWER REDUCTION THROUGH ARCHITECTURE OPTIMIZATION C. M. ALBINĂ 1 În această lucrare a fost prezentată o metodă

More information