Energy-efficient AES SubBytes transformation circuit using asynchronous circuits for ultra-low voltage operation

Size: px
Start display at page:

Download "Energy-efficient AES SubBytes transformation circuit using asynchronous circuits for ultra-low voltage operation"

Transcription

1 LETTER IEICE Electronics Express, Vol.12, No.4, 1 10 Energy-efficient AES SubBytes transformation circuit using asynchronous circuits for ultra-low voltage operation Yuzuru Shizuku 1a), Tetsuya Hirose 1b), Nobutaka Kuroki 1, Masahiro Numa 1, and Mitsuji Okada 2 1 Department of Electrical and Electronic Engineering, Kobe University, 1 1 Rokkodai, Nada, Kobe , Japan 2 Research and Development Consultant a) shizuku@cas.eedept.kobe-u.ac.jp b) hirose@eedept.kobe-u.ac.jp Abstract: In this paper, we present an ultra-low voltage Advanced Encryption Standard (AES) SubBytes transformation (S-BOX) circuit. The S-BOX is widely used as a basic cryptographic primitive for the secure transaction in wireless sensor networks. We employ an asynchronous circuit design technique for low-voltage operations to achieve ultra-low power dissipation. In the proposed approach, we apply a quasi-delay-insensitive (QDI) design methodology to the asynchronous S-BOX circuit to reduce the spurious transitions in combinational logics and to increase robustness against PVT variations. Measurement results in 0.18-µm CMOS process demonstrated that our asynchronous S-BOX circuit consumes only 0.99-pJ at 330-mV, which is 12% less energy than that of synchronous one. QDI asynchronous circuits in the datapath are an effective solution in the near-threshold and sub-threshold regimes. Keywords: low power, low supply voltage, asynchronous circuit Classification: Integrated circuits References [1] M. Feldhofer, S. Dominikus and J. Wolkerstorfer: in Sixth Int. Workshop on Cryptographic Hardware and Embedded Systems, Boston, USA, August 2004, LNCS 3156, ed. M. Joye and J.-J. Quisquater (Springer, 2004) 357. [2] Y. M. Feldhofer, J. Wolkerstorfer and V. Rijmen: IEE Proc. in Information Security (2005). [3] B. Zhai, S. Hanson, D. Blaauw and D. Sylvester: Proc. Int. Symp. Low Power Electron. Design (2005) 20. DOI: /LPE [4] D. Kamel, C. Hocquet, O.-X. Standaert, D. Flandre and D. Bol: Proc. ESSCIRC (2010) 518. DOI: /ESSCIRC [5] R. D. Jorgenson, L. Sorensen, D. Leet, M. S. Hagedorn, D. R. Lamb, T. H. Friddell and W. P. Snapp: Proc. IEEE 98 (2010) 299. DOI: /JPROC. 1

2 [6] N. M. Duc and T. Sakurai: Design Automation Conference 2000, Proc. of the ASP-DAC2000 (2000) 475. DOI: /ASPDAC [7] Y. Osaki, T. Hirose, N. Kuroki and M. Numa: IEEE J. Solid-State Circuits 47 (2012) DOI: /JSSC [8] T. Good and M. Benaissa: IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 18 (2010) DOI: /TVLSI [9] D. Kamel, O.-X. Standaert and D. Flandre: ISCAS (2009) DOI: / ISCAS [10] S. Morioka and A. Satoh: CHES (2002) 172. DOI: / _14 1 Introduction The Internet of Things (IoT) is a phrase that is becoming more and more popular in technological fields. In the IoT, a wireless network is indispensable because of the large number of things which include not only consumer electronics but also various sensor devices communicate with each other. Therefore, it is necessary to cipher the data exchanged between IoT devices in order to maintain secure communications. As a cryptographic primitive, Advanced Encryption Standard (AES) is utilized in IoT devices to ensure the secure transaction such as vital signals and personal information. Most battery-operated IoT devices are constrained to consume power only on the order of nano-watts in active mode. However, AES SubBytes transformation (S-BOX) circuits consume power on the order of microwatts [1, 2], we need to reduce the power consumption of them to satisfy the power constraint. The total power consumption in digital CMOS circuits consists of three major sources, which are summarized by P total ¼ t C L f CLK V 2 dd þ I SC V dd þ I leakage V dd : The first term represents the dynamic power, where C L is the loading capacitance, f CLK is the clock frequency, V dd is the supply voltage, and t is the provability that a transition occurs (activity ratio). The second term is due to the direct-path short circuit current I SC, which arises when both the PMOS and NMOS transistors are simultaneously active. The last term, leakage power consumption, primarily depends on the fabrication technology. As shown in Eq. (1), ultra low-power digital circuits require a voltage scaling technique to operate under extremely low voltages such as the near-threshold and sub-threshold regimes due to a quadratic relationship between power consumption and supply voltage. However, it is quite difficult to overcome the effect of process, voltage, and temperature (PVT) variations for fine-dimensioned CMOS processing in ultra-low voltage operation [3]. In order to achieve robust circuits, the adoption of asynchronous circuits, especially delay-insensitive (DI) or quasi-delay-insensitive (QDI), has been attracting attention for potential use in power-aware applications. Also of interest is reducing the spurious transitions in combinational logics. t is increased at low voltage due to glitch-induced variations [4]. ð1þ 2

3 In this work, we focus on 1) lowering the supply voltage (V dd ) to 0.5 Vor below from the nominal 1.8 V in 0.18-µm CMOS and 2) minimizing the transition per operation ( t ) with free hazard (no glitch). For supporting the low supply voltage simulation, we characterized a minimum set of 0.5-V cell libraries for both synchronous and asynchronous circuits. We then used these libraries to design S- BOX circuits under the same process technology. As a low power efficiency index, we use the power-delay product (PDP). However, this can be contradictory if the targeting performance is different. In this work, a longer battery life is considered more important than a high performance in other words, energy per operation is the key factor. Therefore, in this paper, we confirm energy consumption of the asynchronous S-BOX circuit is lower than that of the synchronous one. The rest of this paper is organized as follows. Section 2 briefly introduces some background information on asynchronous circuits. In Sect. 3, asynchronous S- BOX circuit design is explored with the newly developed cell libraries. Sections 4 and 5 show the simulation and experimental results, respectively. The main finding here is that our proposed circuit achieves a lower energy consumption than the other logic style. Finally, we conclude in Section 6 with a brief summary. 2 Asynchronous circuits There are numerous approaches to designing digital circuits without clocks, called clockless or asynchronous circuits. Asynchronous circuits have various pros and cons depending on the design style [5]. They are similar to synchronous circuits in the sense that both circuits have registers for storing the inputs or results of a calculation and computational elements for processing the data flow within a circuit. In asynchronous circuits, the handshaking from register to register is controlled by a desynchronization controller instead of a clock in synchronous circuits. In this work, we focus on the computational element designed by QDI design methodology. In QDI design, a completion of computation is detected by the encoded data, as in a dual-rail encoding, where each signal has two wires: true and false. The data value 0 is encoded as ð0; 1Þ and 1 is encoded as ð1; 0Þ. ð0; 0Þ is neutral and ð1; 1Þ is unused. QDI circuits need to be initialized before execution to detect the completion. This is called as a spacer shown in Fig. 1. Fig. 2 shows the QDI operation of Half-Adder (HA) as a concrete example. In Fig. 2, the output of a synchronous HA always changes when the CLK signal rises. On the other hand, in an asynchronous HA, the new data is inputted to HA after detecting the initialization by completion detected circuit and the HA calculates, and then the completion of calculate is detected, the spacer is inserted to HA in order to initialize the HA. Therefore, QDI circuits have to execute twice as many operations as synchronous circuits that is, the frequency f CLK is increased to 2X. On the other hand, activity ratio t is fixed at 1, as there is no hazard in the QDI circuits. In synchronous circuits, activity ratio t depends on the previous input data and state. The ratio is also affected by glitches, which are induced by the imbalance delay of combination circuits. In actually, asynchronous circuits are event-driven and the duration of the task and spacer is decided by the completion detection circuit. Therefore, the execution time is corresponded with the PVT variations. It also can easily detect a 3

4 (a) Synchronous operation (b) Asynchronous operation Fig. 1. Principle of asynchronous operation (QDI). (a) Synchronous Half-Adder (b) Asynchronous Half-Adder Fig. 2. Difference between synchronous and asynchronous Half-Adder operation. failure by using the encoding. In normally, the ð1; 1Þ is not occurred. If the circuits detect the ð1; 1Þ, it can retry the sequence after inserting the spacer, ð0; 0Þ. 3 Low voltage asynchronous circuit design 3.1 Asynchronous S-BOX circuit design Fig. 3 shows the architecture of asynchronous S-BOX circuit which supports circuit sharing between S-BOX and S-BOX 1. This circuit consists of a multiplicative inverse of a Galois field GF (2 8 ), an affine transformation for encryption, and an inverse affine transformation for decryption. To minimize the power consumption, we also implemented the operand isolation technique, which blocks the propagation of switching activity through the circuits. We implemented the composite field arithmetic of GF(ðð2 2 Þ 2 Þ 2 ) as the multiplicative inverse of GF(2 8 ) since it is the smallest size. It comprises two types of cells: i.e., AND and XOR. In Fig. 3, we used ¼f1100g 2 as the multiplicative inverse of GF(ðð2 2 Þ 2 Þ 2 ) and ¼f00g 2 as the multiplicative inverse of GF(ð2 2 Þ 2 ), which is depicted as x 1. Generally, we use a standard cell library (corresponding to V dd ¼ 1:8 V for 0.18-µm CMOS) provided by the fabrication manufacturer. Since the cell library is characterized on the basis of normal operating core voltage, we have to characterize it for the various voltages we use. In this work, we need a 0.5-V cell library to evaluate 4

5 Fig. 3. Architecture of circuit-shared asynchronous AES SubByte transformation circuit. the performance at low voltage. Therefore, we developed two types of 0.5-V cell libraries using commercially available cell characterization tools: one is for a synchronous circuit and the other is for an asynchronous circuit. We describe the asynchronous S-BOX circuit using Verilog-HDL. We use these cell libraries, which contain information on area, power, and slew rate in order to execute the synthesis, the gate level delayed simulation, and the analysis of power consumption by using Verilog-HDL design tools. Our asynchronous S-BOX circuit features a non-pipelined design and has an area of 12,476 µm 2 (312 in equivalent number of 2-input ASYNC_AND cell). Its critical path in the multiplicative inverse of GF (ðð2 2 Þ 2 Þ 2 ) has 24 cell gates. The synchronous S-BOX circuit using 0.5-V synchronous cell library is 6,019 µm 2 (457 in equivalent number of 2-input NAND cell). Table I provides a summary of the developed circuits. The detail of each developed circuit is explained in Sect. 5. Table I. Design summary of synthesis. No. Types Cell library Voltage (V) Area 1 (µm 2 ) c0 Synchronous Standard 1.8 3,809 c1 Synchronous 0.5-V sync ,019 c2 Asynchronous 0.5-V sync ,127 c3 Asynchronous 0.5-V async ,476 1 Area = pre-layout V synchronous cell library We have developed a minimum set of a 0.5-V synchronous cell library, shown in Table II. It contains just six cells. This compact library has the performance disadvantages in delay, area size, and power consumption [6]. The circuit structures of the 0.5-V synchronous cells are same as those of the standard cell library corresponding to 1.8-V. These libraries show different circuit performances because they have different supply voltages [6]. We use the 0.5-V library in order to evaluate low-voltage circuit performance by Verilog-HDL tools V asynchronous cell library The 0.5-V asynchronous cells can be composed with the 0.5-V synchronous cells. Fig. 4 shows asynchronous AND cell and asynchronous XOR cell by using 5

6 Table II. Specifications of synchronous cell library. (V dd ¼ 0:5 V) Cell. Delay (ns) Power (nw) Area (µm 2 ) INV NAND NOR XOR D-FF MUX Table III. Specifications of asynchronous cell library. (V dd ¼ 0:5 V) Cell. Delay (ns) Power (nw) Area (µm 2 ) ASYNC_AND ASYNC_XOR (a) ASYNC_AND (b) ASYNC_XOR Fig. 4. Asynchronous logic cells composed of synchronous cells. (a) ASYNC_AND (b) ASYNC_XOR Fig. 5. Circuit structures of proposed asynchronous cell library. synchronous cells. However, these cells take a large area and a high power consumption. Thus, we developed the 0.5-V asynchronous cell library shown in Table III. The circuit structures are shown in Fig. 5. An invert cell in dual-rail encoding can be realized by crossing the wires. This means it requires no additional transistors. 6

7 4 Simulation results The various structures of S-BOX circuit shown in Table I were simulated by using our developed cell libraries shown in Table II and Table III. Fig. 6 shows the simulation results of the synchronous S-BOX circuit (c0). The input data of the S-BOX circuit and the output data of the affine transformation are shown. There are many glitches caused in the imbalance delay of combination logics, and these glitches consume a lot of power. Fig. 7 shows the simulation results of the asynchronous S-BOX circuit (c3). The same input data points. Here, there were no glitches and therefore no unnecessary spurious transactions. Fig. 6. Simulated waveforms of synchronous S-BOX at standard cell library (V dd ¼ 1:8 V). Fig. 7. Simulated waveforms of asynchronous S-BOX at asynchronous cell library (V dd ¼ 0:5 V). Fig. 8 shows the results of power consumption. The top curve indicates the total power consumption, which contains switching, internal, and leakage powers. In the synchronous S-BOX circuit at 1.8 V with a standard cell library, power consumption was 155 µw. In contrast, the synchronous S-BOX circuit at 0.5 V with our developed cell library consumed just 8.94 µw, and when subjected to an ideal voltage scaling, it became X, i.e., µw if the static power is omitted. We also plot the results of the asynchronous S-BOX circuit at 0.5 V with our developed asynchronous cell library in Fig. 8. It consumed 7.57 µw. Compared with the synchronous one, this is 15.3% less. 7

8 Fig. 8. Simulation results of power consumption. 5 Experimental results Both synchronous and asynchronous S-BOX circuits were fabricated in a 0.18-µm CMOS technology. Four types of S-BOX circuits were implemented in the same chip. A chip microphotograph of the chip is shown in Fig. 9, where c0 is the synchronous S-BOX circuit using a 1.8-V standard cell library, c1 is the synchronous S-BOX circuit using a 0.5-V synchronous cell library, c2 is the asynchronous S-BOX circuit using a 0.5-V synchronous cell library, and c3 is the asynchronous S-BOX circuit using a 0.5-V asynchronous cell library. The areas of c0, c1, c2, and c3 were 5176 µm 2, 8150 µm 2, µm 2, and µm 2, respectively. In the measurement phase, we used our previously designed level shifters [7] as the mandatory circuit for confirming the output data, as conventional level shifters cannot convert extremely low-voltage inputs into high-voltage outputs. Fig. 9. Chip microphotograph. Fig. 10 shows the measured energy per operation as the supply voltage V dd was varied from 0.8 V down to 0.2 V. The results show that the energy dwindled along with the rules of voltage scaling in the near-threshold and sub-threshold regimes. The asynchronous S-BOX circuit (c3) was still functional at V dd ¼ 240 mv. However, the leakage power was dominant. Compared with the synchronous S- BOX circuit (c1), the energy of c3 was 12% less. Therefore, c3 achieved a minimum of 0.99 pj at V dd ¼ 330 mv with a frequency of khz. This means that reducing spurious transitions is very effective in combination circuits. 8

9 Fig. 10. Measured energy consumption. Solid line indicates energy at khz and dashed line indicates energy at khz. Table IV. Performance summary and comparison Reference [8] [9] Architecture Composite-Field Optimized Composite-Field Measurement Chip Simulation Process 0.13 µm FSG 0.13 µm GP/LL 65 nm LP/HVT Supply voltage 0.75 V 1.2 V 1.2 V Energy 1.66 pj 1.21 pj pj Leakage power nw 3.65 nw Circuit sharing S-BOX & S-BOX 1 S-BOX Reference [10] This work Architecture Composite-Field 3-stage PPRM Composite-Field Asynchronous Composite-Field Measurement Simulation Chip Process 0.13 µm 0.18 µm GP Supply voltage 1.5 V 310 mv 330 mv Energy 17.9 pj 7.9 pj 1.13 pj 0.99 pj Leakage power nw 13.6 nw Circuit sharing S-BOX & S-BOX 1 S-BOX & S-BOX 1 Table IV summarizes the performance of the synchronous and asynchronous S- BOX circuits in comparison with other designs [8, 9, 10]. Our proposed asynchronous S-BOX circuit achieved the lowest supply voltage using the largest process with efficient energy. 6 Conclusion In this paper, we presented an energy efficient asynchronous S-BOX circuit by employing a quasi-delay-insensitive design methodology. Our circuit is robust against PVT variations by the nature of asynchronous design and has a strong tamper resistance for side-channel attacks such as single power analysis and differential power analysis. Measurement results of our asynchronous S-BOX 9

10 circuit demonstrated that the circuit only consumes 0.99-pJ at 330-mV, which is 12% less energy than that of synchronous one, in 0.18-µm CMOS technology. QDI circuits in the datapath are an effective solution in the near-threshold and subthreshold regimes. Acknowledgments This work was partially supported by KAKENHI, the New Energy and Industrial Technology Development Organization (NEDO), and the VLSI Design and Education Center (VDEC), the University of Tokyo in collaboration with Cadence Design Systems, Inc and Mentor Graphics, Inc. 10

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence L.Vasanth 1, D. Yokeshwari 2 1 Assistant Professor, 2 PG Scholar, Department of ECE Tejaa Shakthi Institute of Technology

More information

All-digital ramp waveform generator for two-step single-slope ADC

All-digital ramp waveform generator for two-step single-slope ADC All-digital ramp waveform generator for two-step single-slope ADC Tetsuya Iizuka a) and Kunihiro Asada VLSI Design and Education Center (VDEC), University of Tokyo 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-0032,

More information

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES M.Ragulkumar 1, Placement Officer of MikrosunTechnology, Namakkal, ragulragul91@gmail.com 1. Abstract Wide Range

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Low power 18T pass transistor logic ripple carry adder

Low power 18T pass transistor logic ripple carry adder LETTER IEICE Electronics Express, Vol.12, No.6, 1 12 Low power 18T pass transistor logic ripple carry adder Veeraiyah Thangasamy 1, Noor Ain Kamsani 1a), Mohd Nizar Hamidon 1, Shaiful Jahari Hashim 1,

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design International Conference on Multidisciplinary Research & Practice P a g e 625 Comparison of High Speed & Low Power Techniques & in Full Adder Design Shikha Sharma 1, ECE, Geetanjali Institute of Technical

More information

IN digital circuits, reducing the supply voltage is one of

IN digital circuits, reducing the supply voltage is one of IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 10, OCTOBER 2014 753 A Low-Power Subthreshold to Above-Threshold Voltage Level Shifter S. Rasool Hosseini, Mehdi Saberi, Member,

More information

Energy Efficient Voltage Conversion Range of Multiple Level Shifter Design in Multi Voltage Domain

Energy Efficient Voltage Conversion Range of Multiple Level Shifter Design in Multi Voltage Domain Indian Journal of Science and Technology, Vol 7(S6), 82 86, October 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Energy Efficient Voltage Conversion Range of Multiple Level Shifter Design in

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 2, No., 201, pp. 29-. ISSN 2-9 International Academic Journal of Science and Engineering

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE 1 S. DARWIN, 2 A. BENO, 3 L. VIJAYA LAKSHMI 1 & 2 Assistant Professor Electronics & Communication Engineering Department, Dr. Sivanthi

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Gate Delay Estimation in STA under Dynamic Power Supply Noise

Gate Delay Estimation in STA under Dynamic Power Supply Noise Gate Delay Estimation in STA under Dynamic Power Supply Noise Takaaki Okumura *, Fumihiro Minami *, Kenji Shimazaki *, Kimihiko Kuwada *, Masanori Hashimoto ** * Development Depatment-, Semiconductor Technology

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

Power Optimization for Ripple Carry Adder with Reduced Transistor Count

Power Optimization for Ripple Carry Adder with Reduced Transistor Count e-issn 2455 1392 Volume 2 Issue 5, May 2016 pp. 146-154 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Power Optimization for Ripple Carry Adder with Reduced Transistor Count Swarnalika

More information

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 Sep 2012 97-108 TJPRC Pvt. Ltd., IMPLEMENTATION OF POWER

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies

Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies Mahesh Yerragudi 1, Immanuel Phopakura 2 1 PG STUDENT, AVR & SVR Engineering College & Technology, Nandyal, AP,

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July-2015 636 Low Power Consumption exemplified using XOR Gate via different logic styles Harshita Mittal, Shubham Budhiraja

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier LETTER IEICE Electronics Express, Vol.11, No.6, 1 7 Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier S. Vijayakumar 1a) and Reeba Korah 2b) 1

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Mangayarkkarasi M 1, Joseph Gladwin S 2 1 Assistant Professor, 2 Associate Professor 12 Department of ECE 1 Sri

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications LETTER IEICE Electronics Express, Vol.12, No.3, 1 6 Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications Xin-Xiang Lian 1, I-Chyn Wey 2a), Chien-Chang Peng 3, and

More information

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction Chapter 3 DESIGN OF ADIABATIC CIRCUIT 3.1 Introduction The details of the initial experimental work carried out to understand the energy recovery adiabatic principle are presented in this section. This

More information

Comparative Analysis of Adiabatic Logic Techniques

Comparative Analysis of Adiabatic Logic Techniques Comparative Analysis of Adiabatic Logic Techniques Bhakti Patel Student, Department of Electronics and Telecommunication, Mumbai University Vile Parle (west), Mumbai, India ABSTRACT Power Consumption being

More information

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 PG student, VLSI and Embedded systems, 2,3 Assistant professor of ECE Dept.

More information

BIOLOGICAL and environmental real-time monitoring

BIOLOGICAL and environmental real-time monitoring 290 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 4, APRIL 2010 An Energy-Efficient Subthreshold Level Converter in 130-nm CMOS Stuart N. Wooters, Student Member, IEEE, Benton

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

Implementation of Carry Select Adder using CMOS Full Adder

Implementation of Carry Select Adder using CMOS Full Adder Implementation of Carry Select Adder using CMOS Full Adder Smitashree.Mohapatra Assistant professor,ece department MVSR Engineering College Nadergul,Hyderabad-510501 R. VaibhavKumar PG Scholar, ECE department(es&vlsid)

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

Design Of Level Shifter By Using Multi Supply Voltage

Design Of Level Shifter By Using Multi Supply Voltage Design Of Level Shifter By Using Multi Supply Voltage Sowmiya J. 1, Karthika P.S 2, Dr. S Uma Maheswari 3, Puvaneswari G 1M. E. Student, Dept. of Electronics and Communication Engineering, Coimbatore Institute

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Design and Analysis of Low Power Level Shifter in IC Applications

Design and Analysis of Low Power Level Shifter in IC Applications Design and Analysis of Low Power Level Shifter in IC Applications Meenu Singh Priyanka Goyal Ajeet Kumar Yadav ABSTRACT In this paper, level Shifter circuit is analyzed which is efficient for converting

More information

Design of Two New High-Performance Full Adders in Sub-threshold Region for Ultra-Low Power Applications

Design of Two New High-Performance Full Adders in Sub-threshold Region for Ultra-Low Power Applications International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 2, No. 8, 2015, pp. 1-10. ISSN 2454-3896 International Academic Journal of Science

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE A Novel Approach of -Insensitive Null Convention Logic Microprocessor Design J. Asha Jenova Student, ECE Department, Arasu Engineering College, Tamilndu,

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

Aarthi.P, Suresh Kumar.R, Muniraj N. J. R, International Journal of Advance Research, Ideas and Innovations in Technology.

Aarthi.P, Suresh Kumar.R, Muniraj N. J. R, International Journal of Advance Research, Ideas and Innovations in Technology. ISSN: 2454-132X Impact factor: 4.295 (Volume3, Issue6) Available online at www.ijariit.com Implementation of Pull-Up/Pull-Down Network for Energy Optimization in Full Adder Circuit P. Aarthi Assistant

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor(SJIF): 3.134 e-issn(o): 2348-4470 p-issn(p): 2348-6406 International Journal of Advance Engineering and Research Development Volume 1,Issue 12, December -2014 Design

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Design of Low Leakage Multi Threshold (V th ) CMOS Level Shifter

Design of Low Leakage Multi Threshold (V th ) CMOS Level Shifter International Journal of Electrical and Computer Engineering (IJECE) Vol. 3, No. 5, October 2013, pp. 584~592 ISSN: 2088-8708 584 Design of Low Leakage Multi Threshold (V th ) CMOS Level Shifter Shanky

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

Delay-based clock generator with edge transmission and reset

Delay-based clock generator with edge transmission and reset LETTER IEICE Electronics Express, Vol.11, No.15, 1 8 Delay-based clock generator with edge transmission and reset Hyunsun Mo and Daejeong Kim a) Department of Electronics Engineering, Graduate School,

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

Design of a High Throughput 128-bit AES (Rijndael Block Cipher)

Design of a High Throughput 128-bit AES (Rijndael Block Cipher) Design of a High Throughput 128-bit AES (Rijndael Block Cipher Tanzilur Rahman, Shengyi Pan, Qi Zhang Abstract In this paper a hardware implementation of a high throughput 128- bits Advanced Encryption

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Gdi Technique Based Carry Look Ahead Adder Design

Gdi Technique Based Carry Look Ahead Adder Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Gdi Technique Based Carry Look Ahead Adder Design

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Muhammad Umar Karim Khan Smart Sensor Architecture Lab, KAIST Daejeon, South Korea umar@kaist.ac.kr Chong Min Kyung Smart

More information

Comparative Study on CMOS Full Adder Circuits

Comparative Study on CMOS Full Adder Circuits Comparative Study on CMOS Full Adder Circuits Priyanka Rathore and Bhavna Jharia Abstract The Presented paper focuses on the comparison of seven full adders. The comparison is based on the power consumption

More information

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 87 CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 6.1 INTRODUCTION In this approach, the four types of full adders conventional, 16T, 14T and 10T have been analyzed in terms of

More information

Chapter 2 Combinational Circuits

Chapter 2 Combinational Circuits Chapter 2 Combinational Circuits SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 23, 26 Why CMOS? Most logic design today is done on CMOS circuits

More information

Low Power Parallel Prefix Adder Design Using Two Phase Adiabatic Logic

Low Power Parallel Prefix Adder Design Using Two Phase Adiabatic Logic Journal of Electrical and Electronic Engineering 2015; 3(6): 181-186 Published online December 7, 2015 (http://www.sciencepublishinggroup.com/j/jeee) doi: 10.11648/j.jeee.20150306.11 ISSN: 2329-1613 (Print);

More information

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) International Journal of Electronics Engineering, (1), 010, pp. 19-3 Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) Ashutosh Nandi 1, Gaurav Saini, Amit Kumar Jaiswal

More information

SUBTHRESHOLD DESIGN SPACE EXPLORATION FOR GAUSSIAN NORMAL BASIS MULTIPLIER

SUBTHRESHOLD DESIGN SPACE EXPLORATION FOR GAUSSIAN NORMAL BASIS MULTIPLIER SUBTHRESHOLD DESIGN SPACE EXPLORATION FOR GAUSSIAN NORMAL BASIS MULTIPLIER H. Kanitkar and D. Kudithipudi Department of Computer Engineering, Rochester Institute of Technology, Rochester, NY-14623 Email:

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Kyung Ki Kim a) and Yong-Bin Kim b) Department of Electrical and Computer Engineering, Northeastern University, Boston, MA

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s.

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. http:// DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. Shivam Mishra 1, K. Suganthi 2 1 Research Scholar in Mech. Deptt, SRM University,Tamilnadu 2 Asst.

More information

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI LETTER IEICE Electronics Express, Vol.1, No.15, 1 11 A fully synthesizable injection-locked PLL with feedback current output DAC in 8 nm FDSOI Dongsheng Yang a), Wei Deng, Aravind Tharayil Narayanan, Rui

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information

Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates

Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates Kyungseok Kim and Vishwani D. Agrawal Department of ECE, Auburn University, Auburn, AL 36849, USA kyungkim@auburn.edu,

More information

Reduced Area & Improved Delay Module Design of 16- Bit Hamming Codec using HSPICE 22nm Technology based on GDI Technique

Reduced Area & Improved Delay Module Design of 16- Bit Hamming Codec using HSPICE 22nm Technology based on GDI Technique International Journal of Scientific and Research Publications, Volume 4, Issue 7, July 2014 1 Reduced Area & Improved Delay Module Design of 16- Bit Hamming Codec using HSPICE 22nm Technology based on

More information