CELL DESIGN METHODOLOGY FOR LOW-POWER HIGH-SPEED BALANCED THREE-INPUT XOR- XNOR IN HYBRID-CMOS LOGIC STYLE

Size: px
Start display at page:

Download "CELL DESIGN METHODOLOGY FOR LOW-POWER HIGH-SPEED BALANCED THREE-INPUT XOR- XNOR IN HYBRID-CMOS LOGIC STYLE"

Transcription

1 CELL DESIGN METHODOLOGY FOR LOWPOWER HIGHSPEED BALANCED THREEINPUT XOR XNOR IN HYBRIDCMOS LOGIC STYLE. Abstract In this paper, a systematic design methodology based on pass transistor and transmission gate in the category of hybridcmos Logic style is proposed and used for design balanced 3input XOR/XNOR circuits. In this methodology, designer utilize various basic cells, including three independent inputs and two complementary outputs, and different mechanisms,correction and optimization techniques, to build new circuits with desired performance and diverse range of applications. Accordingly, six new fullswing balanced 3input XOR/XNOR circuits are proposed with high driving capability, fullbalanced fullswing outputs and low number of transistors of basic structure, high performance, operating at low voltages and excellent signal integrity. As an especial feature, the critical path of the presented designs consists of only two transistors, which causes low propagation delay. All simulations have been performed with TSMC µm technology, in optimum state of the circuits from viewpoint of transistor sizing, to achieve the minimum powerdelay product (PDP). On an average, these circuits outperform their counterparts showing 10%60% improvement in the powerdelay product. Keywords Design methodology; Arithmetic circuits; balanced 3input XOR/XNOR circuits; hybridcmos logic; energy efficiency. I. INTRODUCTION The exclusiveor (XOR) and exclusivenor (XNOR) gates are the essential parts of several digital systems and are highly used in very large scale integration (VLSI) systems [1] such as parity checkers, comparators, crypto processors [2][3], arithmetic and logic circuits [47], test pattern generators [8], especially in Full adder module as Sum output that is 3input XOR and so forth. In most of these systems, XOR and XNOR gates constitute a part of the critical path of the system, which significantly affects the worstcase delay and the overall performance of the system. An optimized design is desired to avoid any degradation on the output voltage, consume less power, and have less delay in critical path with lowsupply voltage as we scale toward deep submicron technology. Other desired features for the design are to have a small number of transistors to implement the circuit. In particular, for XOR and XNOR circuits, the simultaneous generation of the twononskewed outputs is highly desirable [9]. As known, the switching speed of the balanced XOR and XNOR functions, comparing with those designs that use an inverter to generate the complement signal, is increased by eliminating the inverter from the critical path [911]. In this paper, we present a formal design method for balanced 3input XOR XNOR circuits in the hybridcmos logic style. In our approach, we start with selecting a basic cell including 3input and two outputs. Next and if necessary we apply various correction mechanisms and optimization methods to obtain balanced 3input XOR XNOR circuits. Accordingly and by using four basic cells, we come up with six balanced 3input XOR XNOR circuits. In any type of logic design, the non fullswing outputs play a decisive role in cell drivability. Full swing outputs impact multistage structured arithmetic circuit performance [12][13]. Therefore, designers consider achieving full swing output operations as an important factor in the basic block design of arithmetic circuits. In addition, all of the proposed circuits whose critical path contains only two transistors have low average power consumption and delay. The proposed circuits features balanced outputs, making it easy for large tree structured arithmetic circuits to maximize area efficiency without unduly degrading the VLSI power and delay. The rest of this paper is organized as follows. Section 2 begins with the introduction of the design methodology, and the structure of the elementary basic cell. We use this basic cell and introduce two different alternative basic cells based on pass transistor and transmission gate. In addition, we state optimization and correction mechanisms shortly. In Section 3, we introduce six novel balanced 3input XOR/XNOR circuits using basic cells in conjunction with the mechanisms. In Section 4, we report simulation results. We

2 analyze the method in Section 5. Finally, we offer concluding remarks in Section 6. II. DESIGN METHODOLOGY OF BALANCED 3INPUT XOR/XNOR CIRCUITS In this section, design methodology for 3input XOR/XNOR circuits introduced. This methodology is based on using different basic cells and optimization mechanisms. To obtain basic cells, 3input XOR/XNOR function is investigated. For choosing the mechanisms, we use the simulation results of [10][11] in which the balanced two inputs XOR/XNOR circuits based on the Cell2 have possessed better results. A. The Elementary Basic Cell In the process of designing balanced 3input XOR XNOR circuits, we face three independent inputs and two complementary outputs. The elementary basic cell which is extracted of minimum sum of product form of 3input XOR XNOR in Eq. 1 has been presented in Fig. 1. This cell has eight elements, deciding two outputs. Each element is a pass transistor or transition gate and has two input controls, i.e., the gate and either the drain or the source..... (1) We present the first version of the elementary basic cell (referred to as BC1) in Fig. 2. In this cell, all six transistors are nmos. Truth table of the BC1 in Fig. 2 shows the output levels of this circuit for each input vector. To convert BC1 to an XOR XNOR circuit that produces full swing output signals, it is necessary to replace high impedance outputs with logic 1 or 0. Moreover, in order to obtain better performance, non fullswing outputs for some input vectors must be converted into full swing signals. B A C XOR3 XNOR Hiz Hiz Hiz Hiz Hiz Hiz Hiz Hiz Figure 2. BC1 circuit and input and output values 2) Basic Cell: Version II We present basic cell 2 (referred as BC2) in Fig. 3. In this cell, nmos transistors for all four external section boxes and transition gate for central section boxes are selected. Fig. 3 shows outputs for each input vector. In order to convert the BC2 into an XOR XNOR circuit, which provides full swing operation, it is necessary that the high impedance states of outputs in Fig. 3 be replaced with 1. We also have to optimize the circuit using various methods to eliminate the non fullswing operation. Figure 1. The elementary basic cell. The input signals (applied to the two input terminals of these transistors) and the selection of pmos, nmos transistors and transition gate decide various output states. As presented in Fig. 1, we refer to the pins of central section (IN1 to IN4 and G1 to G4) as A or C, or their complements respectively. We assume that pins of external section G5 to G8 can also be B or its complement. Another form of the elementary basic cell is obtained by swapping the position of B or its complement that is G5 to G8 and the outputs of central section that are the drains or the sources of external section. This form of the circuit (as the elementary basic cell) is powerless and groundless (P/G).Therefore, the complementary outputs are only affected by input drivability and charged or discharged. B. The Introduction of Basic Cells 1) Basic Cell: Version I B A C XOR3 XNOR Hiz Hiz Hiz Hiz Figure 3. BC2 circuit and input and output values. C. Correction and optimization mechanisms Correction and optimization mechanisms are pull up/down networks, feedback networks, bootstrap technique, output inverters and combinational mechanism named after applying the two mechnasims simultaneously on basic cell [10][11].

3 III. INTRODUCTION OF BALANCED 3INPUT XORXNOR CIRCUITS In Section II, two basic cells were introduced. To convert these cells into balanced 3input XORXNOR circuits with acceptable performance, two main steps should be employed. In the first step, the high impedance output states should be eliminated. In the second step, non fullswing output signals should be fixed. Three selected mechanisms such as feedback networks and combinational mechanisms such as pull up/down with feedback networks and pull up/down networks with bootstrap technique are applied and presented the circuits with names XO1 through in Table I. As mentioned, we used simulation results of the best circuits in [10] and [11] to extract these mechanisms. TABLE I. INTRODUCTION OF XOR/XNOR CIRCUITS IN DIFFERENT CLASSES WITH THEIR MECHANISMS Class A B C Name XO1 Basic cell BC1 BC2 BC1 BC2 BC1 BC2 Feedback network Fc Fp Fc Fp Pull up/down network Bootstrap technique n p A. Class A 3input XORXNOR Circuits In this Section, class A circuits are introduced (Table I). This group of circuits uses feedback network mechanisms to convert the basic cells into XORXNOR circuits providing full swing output signals. For each one of the basic cells, we select a proper feedback network [10]. We present Class A circuits with the names XO1 and in Fig. 4. s Figure 5. New 3input XOR/XNOR Circuits and. C. Class C 3input XORXNOR Circuits Class C circuits include XOR XNOR circuits in which bootstrap technique has been used to ensure the full swing operation, and the high impedance states at the outputs have been corrected using pull up and pull down networks. This technique could be used for BC1 and BC2 since they all suffer from the no full voltage swing as well as the high impedance states at the output nodes. Circuits and in class C are optimized versions of BC1 and BC2 respectively. Class C circuits that are introduced in Table I and Fig. 6 employ the combinational mechanisms. Figure 6. New 3input XOR/XNOR Circuits and. Figure 4. New 3input XOR/XNOR Circuits XO1 and. B. Class B 3input XORXNOR Circuits Class B circuits are a group of XORXNOR circuits (Table I) in which pull up and pull down networks and feedback networks are used simultaneously. In this class pull up and pull down networks are used to eliminate critical states and feedback networks are employed to rectify the output levels. Class B circuits, and, are presented in Fig. 5. IV. SIMULATION SETUP In this section, the performance of the proposed methodologies is investigated. For comparison, the four circuits Sum_TFA [1417], Sum_New14T [1417], Sum_NEWHPSC [9], Sum_Hybrid [17], SUM module of full adder circuits with full swing outputs, are selected. For producing XNOR in these selected circuits, we used inverter gate. All the circuits are designed using TSMC um CMOS technology and simulated using the BSIM3v3 model with level 49 technology file in Star HSPICE. The threshold voltage of the pmos and nmos transistor is approximately 0.33V and 0.35V, respectively. Environment temperature has been set to 27 C. We use the simulation set up suggested in [18] to evaluate the proposed circuits.

4 A. Individual Test Bench To simulate real environment, we use input buffers for both inputs and outputs. The transistor sizes of these buffers are chosen such that there is sufficient signal distortion expected in an actual circuit [9]. Power consumption is measured for the buffers and 3input XOR/XNOR cells. Delay is measured from the moment the inputs are applied to the input buffers with the cell, until the XOR and XNOR signals through the output buffers is produced. B. Transistor Sizing To provide a fair evaluation, the circuits are simulated to achieve minimum PDP using Simple Exact Algorithm of transistor sizing [19] for different voltages (0.8V1.6V VDD). Optimization of the transistor sizing is carried out for the circuits in the test bench. V. SIMULATION RESULTS Performance of the balanced 3input XORXNOR circuits are compared with each other. These proposed circuits have been chosen for comparison, as they exploit some of the best cells and correctionoptimization mechanisms in [10] and [11]. In addition, their critical path contains only two transistors. The operating frequency is set at 100 MHz. The values of delay obtained for considered values of VDD ( V) for the circuits are shown in Fig. 8 and Table II. to make the comparison easer, the last column shows the average values of the delays. It is apparent that amongst the existing conventional and proposed circuits has the smallest delays. and have the second and the third position. The circuit TF follows the and circuits. However, the classes C and B are better as the viewpoint of delay. The average power dissipation is evaluated under different supply voltages and summarized in Fig. 8 and Table II. The last column of Table II tabulates the average values of the averages power of the circuits. Among the circuits, NEWHPSC, NEW14T, Hybrid, TF and XO1 have the lowest power dissipation. Among the proposed circuits, class A because of low number of transistors in their design and class C because of using bootstrap technique, which is save voltage in internal node, have low power dissipation. The PDP is a quantitative measure of the efficiency of the tradeoff between power dissipation and speed, and is particularly important when lowpower operation is needed. The value of PDP is evaluated under different supply voltages, summarized Fig. 8 and Table II. Among the circuits, and have the lowest PDP respectively. The PDP of is lesser than that of for lower voltages but the trend reverses for higher voltages. Therefore, from energy point of view, is a better choice. In the and, BC2 integrates with combinational mechanisms such as pull up/down networks with feedback networks or bootstrap techniques. Hybrid and NEWHPSC have the highest PDP amongst the conventional circuits. In this case, the proposed circuits in classes B and C display the better PDP characteristics for varying supply voltages. To make the comparison easier, ascending order of PDP is in Fig. 7, clearly,, TF, are the best circuits respectively. After investigation, it can be realized that from energy point of view the BC1 after the BC2 exhibits better results. TABLE II. DELAY, POWER AND PDP COMPARISION OF THE BALANCED 3INPUT XOR/XNOR CIRCUITS PDP(fJ) AVERAGE XO HTBRID New14T NEWHPSC TF Td(nS) AVERAGE XO HTBRID NEW14T NEWHPSC TF Power(uW) AVERAGE XO HTBRID NEW 14T NEWHPSC TF Thus, these simulation results confirm that pull up/down networks are better to address high impedance outputs also bootstrap technique and feedback are better to produce full swing output. PDP(fJ) Ascending order of PDP for the circuits Circuits Figure 7. Ascending order of the circuits at the testbench

5 Actually, if we use fullswing producing techniques to fix the high impedance problem we have to rely on high drive transistors. Exploiting powerful transistors, however, can interfere with the basic cell work. For example, using powerful feedback transistors in the basic cells increases power and delay at the time of output change. Pull up/down networks have the advantage of not interfering with basic cells. Circuits using bootstrap technique that exploit blocking voltage in the internal nodes for gate voltage shifting in the basic cell transistors are also good candidates for low power modules. In addition, these circuits do not come with short circuit at the time of output switching. As a result, alternative techniques are suggested to remove high impedance and produce fullswing outputs Powerdelay Product (fj) XO1 HTBRID New14T NEWHPSC TF Supply Voltage (V) Worst case delay (ns) XO1 HTBRID NEWHPSC Supply Voltage (V) Average power consumption (uw) XO1 HTBRID NEW 14T NEWHPSC TF Figure 8. Simulation results of circuits. NEW14T TF Supply Voltage (V) Figure 9. Waveform snapshots of the circuits and in 1.2 V (100 MHz) Without test bench output buffers. Fig. 9 shows the output waveforms of, before the insertion of test bench buffers at 1.2 V. outputs in some transitions have voltage more than supply voltage that is because of ability of bootstrap in saving voltage. For example, XOR3 output in time 250nS and XNOR3 output in time 110nS has voltage 1.33v and 1.35v respectively. As mentioned, by optimizing the transistor sizes of the circuits, it is possible to achieve minimum PDP. So iterative process of SEA algorithm for all the circuits in all ranges (0.81.6v) was carried out, as well as the optimized transistor widths for and at 1.2V are listed in Table III. In all circuits, the buffer sizings are 0.39u and 0.65u for NMOSs, 0.65u, and 1.56u for PMOSs respectively. VI. CONCLUSION Using cell design methodology and correctionoptimization mechanisms rely on hybridcmos design style, it is conceived many new 3input XOR/XNOR circuits. For example, The XO1 to is presented in the paper that targets low PDP. The proposed circuits have high performance, full swing and balanced outputs and they are in optimum size of transistors. They perform well with supply voltage scaling and their critical path contains only two transistors. Simulation results show that the proposed circuits exhibit better performances compared to previously suggested circuits. Finally, we also classify the basic cells and the mechanisms based on performances and applications. REFERENCES [1] M.H. Moaiyeri, R. Faghih Mirzaee, K. Navi, T. Nikoubin and O. kavehei, Novel direct designs for 3input XOR function for lowpower and highspeed applications, International Journal of Electronics, 97: 6, , 18 March [2] H.W. Kim and S. Lee, Design and Implementation of a Private and Public Key Crypto Processor and its Application to a Security System, IEEE Transactions on Consumer Electronics, 50, , [3] P.M. Lee, C.H. Hsu, and Y.H. Hung, Novel 10T Full Adders Realized by GDI Structure, in Proceedings of 2007 International Symposium Integrated Circuits, pp , [4] M.H. Moaiyeri, R. Faghih Mirzaee and K. Navi, Two New Low Power and HighPerformance Full Adders, Journal of Computers, 4, , [5] S. Timarchi and K. Navi, Arithmetic Circuits of Redundant SUT RNS, IEEE Transactions on Instrumentation and Measurement, 58, , 2009.

6 TABLE III. TRANSISTOR SIZES (µm) OF THE CIRCUITS FOR PDP AT 1.2V VDD 1.2V T T T2 T NMOS T2 T NMOS T T TP TP1 TP2 TB PMOS TP2 PMOS TB2 TB3 TB4 Inverters T_NMOS T_PMOS Inverters T_NMOS T_PMOS [6] R. Faghih Mirzaee, M.H. Moaiyeri and K. Navi, High Speed NP CMOS and MultiOutput Dynamic Full Adder Cells, International Journal of Electrical, Computer and Systems Engineering, 4(4), , [7] K. Navi, R. Zabihi, M. Haghparast and T. Nikoubin, A Novel Mixed Mode Current and Dynamic Voltage Full Adder, World Applied Sciences Journal 4(2): , [8] X. Zhang, C.I.H. Chen and A. Chakravarthy, Structure Design and Optimization of 2D LFSRBased Multi Sequence Test Generator in BuiltIn SelfTest, IEEE Transactions on Instrumentation and Measurement, 57, , [9] Goel, M. A. Elgamel, M. A. Bayoumi, and Y. Hanafy, Design methodologies for high performance noisetolerant XORXNOR circuits, IEEE Trans. Circuits yst. I: Reg. Papers, vol. 53, no. 4, pp , [10] T. Nikoubin, M. Grailoo and H. Mozafari Cell Design Methodology Based on Transmission Gate for LowPower HighSpeed Balanced XORXNOR Circuits in HybridCMOS Logic, in Journal of Low Power Electronics, Vol 6, pp. 110, [11] T. Nikoubin, F. Eslami, A. Baniasadi and K. Navi. A New Cell Design Methodology for Balanced XORXNOR Circuits for Hybrid CMOS Logic, in Journal of Low Power Electronics, Vol 5, pp. 220, [12] S. Goel, A. Kumar, and M. A. Bayoumi, Design of robust energy efficient full adders for deep submicron design using HybridCMOS logic style, IEEE Trans. Very Large Scale Integr. (VLSI) Sys., vol. 14, no. 12, pp , [13] T. Nikoubin, N. Navi and O. Kavei, a New Method in Reorganization of the Timing Behavior of Symmetric XOR/XNOR Circuits, CSI J. Computer Science and Engineering, Vol. 5, No. 3, [14] M. Aguirre and M. Linares, A lowpower bootstrapped CMOS full adder, in 2nd Int. Conf. on Electrical and Electronics Engineering (ICEEE 05) held jointly with XI Conf. on Electrical Engineering (CIE 05), Mexico City, pp , [15] A. M. Shams, T. K. Darwish, and M. A. Bayoumi, Performance analysis of lowpower 1bit CMOS full adder cells, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 10, no. 1, pp , [16] M. Sayed and W. Badawy, Performance analysis of singlebit full adder cells using 0.18, 0.25 and 0.35µm CMOS technologies, in Proc.35th IEEE Int. Symp. Circuits Syst., Scottsdale, pp. III559III 562, [17] C. H. Chang, J. Gu, and M. Zhang, A review of 0.18µm full adder performances for tree structured arithmetic circuits, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 6, pp , [18] D.Radhakrishnan, Lowvoltage lowpower CMOS full adder, IEE Proc. Circuits Devices Syst. 148, pp. 19, [19] T. Nikoubin, P. Bahrebar, S. Pouri, K. Navi, and V. Iravani Simple Exact Algorithm for Transistor Sizing of LowPower HighSpeed Arithmetic Circuits, Hindawi VLSI Design journal, Vol. 2010, Article ID , pp.17, 2010.

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com ISSN (ONLINE): 2395-695X POWER DELAY PRODUCT AND AREA REDUCTION OF

More information

DESIGN OF LOW POWER CMOS THREE INPUT XOR/XNOR

DESIGN OF LOW POWER CMOS THREE INPUT XOR/XNOR DESIGN OF LOW POWER CMOS THREE INPUT XOR/XNOR D.lakshmaiah 1 (Ph.D),T.sai baba 2 M.Tech,B.sravani #, M. kalyani #, G.priya darshini #, D.shashi kumar # 1 Asso. Professor, 2 Assit.Professor # B.Tech students

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 12, DECEMBER 2006 1309 Design of Robust, Energy-Efficient Full Adders for Deep-Submicrometer Design Using Hybrid-CMOS Logic

More information

Low Power Three-Input XOR/XNOR with Systematic Cell Design Methodology

Low Power Three-Input XOR/XNOR with Systematic Cell Design Methodology Low Power Three-Input XOR/XNOR with Systematic Cell Design Methodology 1 G. Nagasundari, 2 S.R. Prabakar 1 PG student, Department of ECE, Vivekanandha College of engineering for women, Tiruchengode 2 AP/ECE,

More information

Energy Efficient high Performance Three INPUT EXCLUSIVE- OR/NOR Gate Design

Energy Efficient high Performance Three INPUT EXCLUSIVE- OR/NOR Gate Design 2017 IJSRST Volume 3 Issue 6 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology Energy Efficient high Performance Three INPUT EXCLUSIVE- OR/NOR Gate Design Aditya Mishra,

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Low power 18T pass transistor logic ripple carry adder

Low power 18T pass transistor logic ripple carry adder LETTER IEICE Electronics Express, Vol.12, No.6, 1 12 Low power 18T pass transistor logic ripple carry adder Veeraiyah Thangasamy 1, Noor Ain Kamsani 1a), Mohd Nizar Hamidon 1, Shaiful Jahari Hashim 1,

More information

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 2, No., 201, pp. 29-. ISSN 2-9 International Academic Journal of Science and Engineering

More information

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Keivan Navi Abstract In this paper we present two novel 1-bit full adder cells in dynamic logic

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC 1 S.Varalakshmi, 2 M. Rajmohan, M.Tech, 3 P. Pandiaraj, M.Tech 1 M.Tech Department of ECE, 2, 3 Asst.Professor, Department of ECE, 1,

More information

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Seyedehsomayeh Hatefinasab * Department of Electrical and Computer Engineering, Payame Noor University, Sari, Iran. *Corresponding

More information

Comparative Study on CMOS Full Adder Circuits

Comparative Study on CMOS Full Adder Circuits Comparative Study on CMOS Full Adder Circuits Priyanka Rathore and Bhavna Jharia Abstract The Presented paper focuses on the comparison of seven full adders. The comparison is based on the power consumption

More information

Full Adder Circuits using Static Cmos Logic Style: A Review

Full Adder Circuits using Static Cmos Logic Style: A Review Full Adder Circuits using Static Cmos Logic Style: A Review Sugandha Chauhan M.E. Scholar Department of Electronics and Communication Chandigarh University Gharuan,Punjab,India Tripti Sharma Professor

More information

Two New Low Power High Performance Full Adders with Minimum Gates

Two New Low Power High Performance Full Adders with Minimum Gates Two New Low Power High Performance Full Adders with Minimum Gates M.Hosseinghadiry, H. Mohammadi, M.Nadisenejani Abstract with increasing circuits complexity and demand to use portable devices, power consumption

More information

Two New Low Power High Performance Full Adders with Minimum Gates

Two New Low Power High Performance Full Adders with Minimum Gates Two New Low Power High Performance Full Adders with Minimum Gates M.Hosseinghadiry, H. Mohammadi, M.Nadisenejani Abstract with increasing circuits complexity and demand to use portable devices, power consumption

More information

An Efficient Advanced High Speed Full-Adder Using Modified GDI Technique

An Efficient Advanced High Speed Full-Adder Using Modified GDI Technique An Efficient Advanced High Speed Full-Adder Using Modified GDI Technique Menakadevi¹, 1 Assistant professor, Sri Eshwar College of Engineering Ciombatore,Tamil Nadu, INDIA Abstract In this paper, high

More information

Design of Low Power High Speed Hybrid Full Adder

Design of Low Power High Speed Hybrid Full Adder IJECT Vo l. 6, Is s u e 4, Oc t - De c 2015 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Design of Low Power High Speed Hybrid Full Adder 1 P. Kiran Kumar, 2 P. Srikanth 1,2 Dept. of ECE, MVGR College

More information

Design of Two High Performance 1-Bit CMOS Full Adder Cells

Design of Two High Performance 1-Bit CMOS Full Adder Cells Int. J. Com. Dig. Sys. 2, No., 47-52 (23) 47 International Journal of Computing and Digital Systems -- An International Journal @ 23 UOB CSP, University of Bahrain Design of Two High Performance -Bit CMOS

More information

A New High Speed - Low Power 12 Transistor Full Adder Design with GDI Technique

A New High Speed - Low Power 12 Transistor Full Adder Design with GDI Technique International Journal of Scientific & Engineering Research Volume 3, Issue 7, July-2012 1 A New High Speed - Low Power 12 Transistor Full Design with GDI Technique Shahid Jaman, Nahian Chowdhury, Aasim

More information

Analysis of Different CMOS Full Adder Circuits Based on Various Parameters for Low Voltage VLSI Design

Analysis of Different CMOS Full Adder Circuits Based on Various Parameters for Low Voltage VLSI Design International Journal of Engineering and Technical Research (IJETR) Analysis of Different CMOS Full Adder Circuits Based on Various Parameters for Low Voltage VLSI Design Mr. Kapil Mangla, Mr. Shashank

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

ISSN: [Narang* et al., 6(8): August, 2017] Impact Factor: 4.116

ISSN: [Narang* et al., 6(8): August, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY PERFORMANCE ANALYSIS OF A LOW-POWER HIGH-SPEED HYBRID 1-BIT FULL ADDER CIRCUIT AND ITS IMPLEMENTATION Swati Narang Electronics

More information

& POWER REDUCTION IN FULL ADDER USING NEW HYBRID LOGIC V.

& POWER REDUCTION IN FULL ADDER USING NEW HYBRID LOGIC V. POWER REDUCTION IN FULL ADDER USING NEW HYBRID LOGIC V. Kayathri*, C. Kumar**, P. Mari Muthu*** & N. Naveen Kumar**** Department of Electronics and Communication Engineering, RVS College of Engineering

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August-2013 1156 Novel Low Power Shrikant and M Pattar, High H V Ravish Speed Aradhya 8T Full Adder Abstract - Full adder

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

Design of Two New High-Performance Full Adders in Sub-threshold Region for Ultra-Low Power Applications

Design of Two New High-Performance Full Adders in Sub-threshold Region for Ultra-Low Power Applications International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 2, No. 8, 2015, pp. 1-10. ISSN 2454-3896 International Academic Journal of Science

More information

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder Design and Performance Analysis of High Speed Low Power 1 bit Full Adder Gauri Chopra 1, Sweta Snehi 2 PG student [RNA], Dept. of MAE, IGDTUW, New Delhi, India 1 PG Student [VLSI], Dept. of ECE, IGDTUW,

More information

Implementation of Carry Select Adder using CMOS Full Adder

Implementation of Carry Select Adder using CMOS Full Adder Implementation of Carry Select Adder using CMOS Full Adder Smitashree.Mohapatra Assistant professor,ece department MVSR Engineering College Nadergul,Hyderabad-510501 R. VaibhavKumar PG Scholar, ECE department(es&vlsid)

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies

Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies Mahesh Yerragudi 1, Immanuel Phopakura 2 1 PG STUDENT, AVR & SVR Engineering College & Technology, Nandyal, AP,

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

ADVANCES in NATURAL and APPLIED SCIENCES

ADVANCES in NATURAL and APPLIED SCIENCES ADVANCES in NATURAL and APPLIED SCIENCES ISSN: 1995-0772 Published BYAENSI Publication EISSN: 1998-1090 http://www.aensiweb.com/anas 2017 Special 11(6): pages 599-604 Open Access Journal Design A Full

More information

PERFORMANANCE ANALYSIS OF A 1-BIT FULL ADDER USING 45nm TECHNOLOGY

PERFORMANANCE ANALYSIS OF A 1-BIT FULL ADDER USING 45nm TECHNOLOGY Research Manuscript Title PERFORMANANCE ANALYSIS OF A 1-BIT FULL ADDER USING 45nm TECHNOLOGY A.NIVETHA, M.Hemalatha, P.G.Scholar, Assistant Professor, M.E VLSI Design, Department of ECE Vivekanandha College

More information

Design and Simulation of Novel Full Adder Cells using Modified GDI Cell

Design and Simulation of Novel Full Adder Cells using Modified GDI Cell Design and Simulation of Novel Full Adder Cells using Modified GDI Cell 1 John George Victor, 2 Dr M Sunil Prakash 1,2 Dept of ECE, MVGR College of Engineering, Vizianagaram, India IJECT Vo l 6, Is s u

More information

DESIGN OF ENERGY-EFFICIENT FULL ADDER USING HYBRID-CMOS LOGIC STYLE

DESIGN OF ENERGY-EFFICIENT FULL ADDER USING HYBRID-CMOS LOGIC STYLE DESIGN OF ENERGY-EFFICIENT FULL ADDER USING HYBRID-CMOS LOGIC STYLE 1 Mohammad Shamim Imtiaz, 2 Md Abdul Aziz Suzon, 3 Mahmudur Rahman 1 Part-Time Lecturer, Department of EEE, A.U.S.T, Dhaka, Bangladesh

More information

2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR

2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR 2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR C.CHANDAN KUMAR M.Tech-VLSI, Department of ECE, Sree vidyanikethan Engineering college A.Rangampet, Tirupati, India chennachandu123@gmail.com

More information

OPTIMIZATION OF LOW POWER ADDER CELLS USING 180NM TG TECHNOLOGY

OPTIMIZATION OF LOW POWER ADDER CELLS USING 180NM TG TECHNOLOGY OPTIMIZATION OF LOW POWER ADDER CELLS USING 180NM TG TECHNOLOGY Nitasha Jaura 1, Balraj Singh Sidhu 2, Neeraj Gill 3 1, 2, 3 Department Of Electronics and Communication Engineering, Giani Zail Singh Punjab

More information

Low power high speed hybrid CMOS Full Adder By using sub-micron technology

Low power high speed hybrid CMOS Full Adder By using sub-micron technology Low power high speed hybrid CMOS Full Adder By using sub-micron technology Ch.Naveen Kumar 1 Assistant professor,ece department GURUNANAK institutions technical campus Hyderabad-501506 A.V. Rameshwar Rao

More information

A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS

A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS V. Vijay 1, J. Prathiba 2, S. Niranjan Reddy 3 and P. Praveen kumar 4 1 School of Electronics, Vignan University, Vadlamudi, Guntur vijayqiscet@gmail.com 2

More information

An Efficient and High Speed 10 Transistor Full Adders with Lector Technique

An Efficient and High Speed 10 Transistor Full Adders with Lector Technique IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 5, Ver. II (Sep.- Oct. 2017), PP 68-73 www.iosrjournals.org An Efficient and

More information

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR B. Sathiyabama 1, Research Scholar, Sathyabama University, Chennai, India, mathumithasurya@gmail.com Abstract Dr. S. Malarkkan 2, Principal,

More information

DESIGN OF HIGH EFFICIENT AND LOW POWER MULTIPLIER

DESIGN OF HIGH EFFICIENT AND LOW POWER MULTIPLIER Int. J. Engg. Res. & Sci. & Tech. 2015 Balaje et al., 2015 Research Paper ISSN 2319-5991 www.ijerst.com Special Issue, Vol. 1, No. 3, May 2015 International Conference on Advance Research and Innovation

More information

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER Mr. M. Prakash Mr. S. Karthick Ms. C Suba PG Scholar, Department of ECE, BannariAmman Institute of Technology, Sathyamangalam, T.N, India 1, 3 Assistant

More information

Study of Threshold Gate and CMOS Logic Style Based Full Adders Circuits

Study of Threshold Gate and CMOS Logic Style Based Full Adders Circuits IEEE SPONSORED 3rd INTERNATIONAL CONFERENCE ON ELECTRONICS AND COMMUNICATION SYSTEMS (ICECS 2016) Study of Threshold Gate and CMOS Logic Style Based Full Adders Circuits Raushan Kumar Department of ECE

More information

Power Efficient adder Cell For Low Power Bio MedicalDevices

Power Efficient adder Cell For Low Power Bio MedicalDevices IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 39-45 e-issn: 2319 4200, p-issn No. : 2319 4197 Power Efficient adder Cell For Low Power Bio MedicalDevices

More information

TODAY, ubiquitous electronic systems are an inseparable

TODAY, ubiquitous electronic systems are an inseparable IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Low-Power and Fast Full Adder by Exploring New XOR and XNOR Gates Hamed Naseri and Somayeh Timarchi, Member, IEEE Abstract In this paper,

More information

CHAPTER - IV. Design and analysis of hybrid CMOS Full adder and PPM adder

CHAPTER - IV. Design and analysis of hybrid CMOS Full adder and PPM adder CHAPTER - IV Design and analysis of hybrid CMOS Full adder and PPM adder Design and analysis of hybrid CMOS Full adder and PPM adder 63 CHAPTER IV DESIGN AND ANALYSIS OF HYBRID CMOS FULL ADDER AND PPM

More information

LOW POWER-AREA DESIGN OF FULL ADDER USING SELF RESETTING LOGIC WITH GDI TECHNIQUE

LOW POWER-AREA DESIGN OF FULL ADDER USING SELF RESETTING LOGIC WITH GDI TECHNIQUE LOW POWER-AREA DESIGN OF FULL ADDER USING SELF RESETTING LOGIC WITH GDI TECHNIQUE ABSTRACT Simran Khokha 1 and K.Rahul Reddy 2 1 ARSD College, Department of Electronics Science, University Of Delhi, New

More information

Design of Delay-Power Efficient Carry Select Adder using 3-T XOR Gate

Design of Delay-Power Efficient Carry Select Adder using 3-T XOR Gate Adv. Eng. Tec. Appl. 5, No. 1, 1-6 (2016) 1 Advanced Engineering Technology and Application An International Journal http://dx.doi.org/10.18576/aeta/050101 Design of Delay-Power Efficient Carry Select

More information

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell International Journal of Electronics and Computer Science Engineering 333 Available Online at www.ijecse.org ISSN: 2277-1956 Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell Arun

More information

Ciência e Natura ISSN: Universidade Federal de Santa Maria Brasil

Ciência e Natura ISSN: Universidade Federal de Santa Maria Brasil Ciência e Natura ISSN: 0100-8307 cienciaenaturarevista@gmail.com Universidade Federal de Santa Maria Brasil Abbasi Morad, Milad Jalalian; Reza Talebiyan, Seyyed; Pakniyat, Ebrahim Design of New High-Performance

More information

Low-Power High-Speed Double Gate 1-bit Full Adder Cell

Low-Power High-Speed Double Gate 1-bit Full Adder Cell INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2016, VOL. 62, NO. 4, PP. 329-334 Manuscript received October 15, 2016; revised November, 2016. DOI: 10.1515/eletel-2016-0045 Low-Power High-Speed Double

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Estimating the Maximum Propagation Delay of 4-bit Ripple Carry Adder Using Reduced Input Transitions

Estimating the Maximum Propagation Delay of 4-bit Ripple Carry Adder Using Reduced Input Transitions Estimating the Maximum Propagation Delay of 4-bit Ripple Carry Adder Using Reduced Input Transitions Manan Mewada (&), Mazad Zaveri, and Anurag Lakhlani SEAS, Ahmedabad University, Ahmedabad, India {manan.mewada,mazad.zaveri,

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Australian Journal of Basic and Applied Sciences. Optimized Embedded Adders for Digital Signal Processing Applications

Australian Journal of Basic and Applied Sciences. Optimized Embedded Adders for Digital Signal Processing Applications ISSN:1991-8178 Australian Journal of Basic and Applied Sciences Journal home page: www.ajbasweb.com Optimized Embedded Adders for Digital Signal Processing Applications 1 Kala Bharathan and 2 Seshasayanan

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Mangayarkkarasi M 1, Joseph Gladwin S 2 1 Assistant Professor, 2 Associate Professor 12 Department of ECE 1 Sri

More information

A Novel Hybrid Full Adder using 13 Transistors

A Novel Hybrid Full Adder using 13 Transistors A Novel Hybrid Full Adder using 13 Transistors Lee Shing Jie and Siti Hawa binti Ruslan Department of Electrical and Electronic Engineering, Faculty of Electric & Electronic Engineering Universiti Tun

More information

A Efficient Low-Power High Speed Digital Circuit Design by using 1-bit GDI Full Adder Circuit

A Efficient Low-Power High Speed Digital Circuit Design by using 1-bit GDI Full Adder Circuit Efficient Low-Power High Speed Digital Circuit Design by using 1-bit GDI Full dder Circuit Rohit Tripati #1, Paresh Rawat # PG Student [VLSI], Dept. of ECE, Truba College of Science and Technology hopal

More information

Design of XOR gates in VLSI implementation

Design of XOR gates in VLSI implementation Design of XOR gates in VLSI implementation Nabihah hmad, Rezaul Hasan School of Engineering and dvanced Technology Massey University, uckland N.hmad@massey.ac.nz, hasanmic@massey.ac.nz bstract: Exclusive

More information

A Review on Low Power Compressors for High Speed Arithmetic Circuits

A Review on Low Power Compressors for High Speed Arithmetic Circuits A Review on Low Power Compressors for High Speed Arithmetic Circuits Siva Subramanian R 1, Suganya Thevi T 2, Revathy M 3 P.G. Student, Department of ECE, PSNA College of, Dindigul, Tamil Nadu, India 1

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY International Journal of Microelectronics Engineering (IJME), Vol. 1, No.1, 215 PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY K.Dhanunjaya 1, Dr.MN.Giri Prasad 2, Dr.K.Padmaraju

More information

ADVANCES in NATURAL and APPLIED SCIENCES

ADVANCES in NATURAL and APPLIED SCIENCES ADVANCES in NATURAL and APPLIED SCIENCES ISSN: 1995-0772 Published BY AENSI Publication EISSN: 1998-1090 http://www.aensiweb.com/anas 2016 April 10(4): pages 304-312 Open Access Journal Performance Analysis

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application Rumi Rastogi and Sujata Pandey Amity University Uttar Pradesh, Noida, India Email: rumi.ravi@gmail.com,

More information

1-Bit Full-Adder cell with Optimized Delay for Energy- Efficient Arithmetic Applications

1-Bit Full-Adder cell with Optimized Delay for Energy- Efficient Arithmetic Applications International Journal of Electronic Networks, Devices and Fields. ISSN 0974-2182 Volume 4, Number 1 (2012), pp. 1-7 International Research Publication House http://www.irphouse.com 1-Bit Full-Adder cell

More information

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE Mei-Wei Chen 1, Ming-Hung Chang 1, Pei-Chen Wu 1, Yi-Ping Kuo 1, Chun-Lin Yang 1, Yuan-Hua Chu 2, and Wei Hwang

More information

Pardeep Kumar, Susmita Mishra, Amrita Singh

Pardeep Kumar, Susmita Mishra, Amrita Singh Study of Existing Full Adders and To Design a LPFA (Low Power Full Adder) Pardeep Kumar, Susmita Mishra, Amrita Singh 1 Department of ECE, B.M.S.E.C, Muktsar, 2,3 Asstt. Professor, B.M.S.E.C, Muktsar Abstract

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations Volume-7, Issue-3, May-June 2017 International Journal of Engineering and Management Research Page Number: 42-47 Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

More information

A SURVEY OF LOW POWER HIGH SPEED ONE BIT FULL ADDER

A SURVEY OF LOW POWER HIGH SPEED ONE BIT FULL ADDER A SURVEY OF LOW POWER HIGH SPEED ONE BIT FULL ADDER N. M. CHORE 1, R. N. MANDAVGANE 2 Department of Electronic Engineering B. D. College of Engineering Rashtra Sant Tukdoji Maharaj Nagpur University Wardha,

More information

DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES

DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES Basil George 200831005 Nikhil Soni 200830014 Abstract Full adders are important components in applications such as digital

More information

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Design of an Energy Efficient 4-2 Compressor

Design of an Energy Efficient 4-2 Compressor IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Design of an Energy Efficient 4-2 Compressor To cite this article: Manish Kumar and Jonali Nath 2017 IOP Conf. Ser.: Mater. Sci.

More information

Analysis of Different Full Adder Designs with Power using CMOS 130nm Technology

Analysis of Different Full Adder Designs with Power using CMOS 130nm Technology Analysis of Different Full Adder Designs with Power using CMOS 130nm Technology J. Kavitha 1, J. Satya Sai 2, G. Gowthami 3, K.Gopi 4, G.Shainy 5, K.Manvitha 6 1, 2, 3, 4, 5, St. Ann s College of Engineering

More information

STATIC cmos circuits are used for the vast majority of logic

STATIC cmos circuits are used for the vast majority of logic 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 2, FEBRUARY 2017 Design of Low-Power High-Performance 2 4 and 4 16 Mixed-Logic Line Decoders Dimitrios Balobas and Nikos Konofaos

More information

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 87 CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 6.1 INTRODUCTION In this approach, the four types of full adders conventional, 16T, 14T and 10T have been analyzed in terms of

More information

NOVEL 11-T FULL ADDER IN 65NM CMOS TECHNOLOGY

NOVEL 11-T FULL ADDER IN 65NM CMOS TECHNOLOGY NOVEL 11-T FULL ADDER IN 65NM CMOS TECHNOLOGY C. M. R. Prabhu, Tan Wee Xin Wilson and Thangavel Bhuvaneswari Faculty of Engineering and Technology Multimedia University Melaka, Malaysia E-Mail: c.m.prabu@mmu.edu.my

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

Performance Comparison of High-Speed Adders Using 180nm Technology

Performance Comparison of High-Speed Adders Using 180nm Technology Steena Maria Thomas et al. 2016, Volume 4 Issue 2 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Performance Comparison

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

Design and Analysis of Low-Power 11- Transistor Full Adder

Design and Analysis of Low-Power 11- Transistor Full Adder Design and Analysis of Low-Power 11- Transistor Full Adder Ravi Tiwari, Khemraj Deshmukh PG Student [VLSI, Dept. of ECE, Shri Shankaracharya Technical Campus(FET), Bhilai, Chattisgarh, India 1 Assistant

More information

Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles

Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Volume 7, PP 13-18 www.iosrjen.org Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles Mahalaxmi

More information

Design of High Speed Six Transistor Full Adder using a Novel Two Transistor XOR Gates

Design of High Speed Six Transistor Full Adder using a Novel Two Transistor XOR Gates Design of High Speed Six Transistor Full Adder using a Novel Two Transistor XOR Gates 1 Pakkiraiah Chakali, 2 Adilakshmi Siliveru, 3 Neelima Koppala Abstract In modern era, the number of transistors are

More information

International Journal on Emerging Technologies 1(1): 1-10(2010) ISSN :

International Journal on Emerging Technologies 1(1): 1-10(2010) ISSN : e t International Journal on Emerging Technologies 1(1): 1-10(2010) ISSN : 0975-8364 comparative performance analysis of various CMOS design techniques for and circuits Shiv Shankar Mishra, darsh Kumar

More information

Design of Modified Shannon Based Full Adder Cell Using PTL Logic for Low Power Applications

Design of Modified Shannon Based Full Adder Cell Using PTL Logic for Low Power Applications Design of Modified Shannon Based Full Adder Cell Using PTL Logic for Low Power Applications K.Purnima #1, S.AdiLakshmi #2, M.Sahithi #3, A.Jhansi Rani #4,J.Poornima #5 #1 M.Tech student, Department of

More information