Ultrasonic Sensor Based Contactless Theremin Using Pipeline CORDIC as Tone Generator

Size: px
Start display at page:

Download "Ultrasonic Sensor Based Contactless Theremin Using Pipeline CORDIC as Tone Generator"

Transcription

1 Ultrasonic Sensor Based Contactless Theremin Using Pipeline CORDIC as Tone Generator Bagus Hanindhito, Hafez Hogantara, Annisa I. Rahmah, Nur Ahmadi, Trio Adiono Department of Electrical Engineering, School of Electrical Engineering and Informatics Bandung Institute of Technology, Jl. Ganesha No. 0 Bandung, 4032, Indonesia hanindhito@bagus.my.id, tadiono@stei.itb.ac.id Abstract Theremin is an electronic musical instrument controlled without physical contact by the performer. It has two antennas to control pitch and volume. By replacing the antennas with ultrasonic sensor, a new redefined theremin is implemented. The ultrasonic sensor will control the tone generator which is implemented in FPGA Altera Cyclone II EP2C35F672C6 of DE2-70 board. The tone generator is implemented by using a pipeline CORDIC circuit. CORDIC (Coordinate Rotation Digital Computer) is a digital circuit that can be used to compute several common mathematical transcendental functions with only basic hardware requirements. It is used to generate sine wave as a tone in specific frequency and volume. It is firstly implemented in iterative structure to carry out all of optimization needed to achieve higher performance, less area consumption, and higher precision. To increase the throughput, the CORDIC circuit is modified into pipeline structure at an epense of some area consumption. Keywords FPGA, Pipelined CORDIC, Theremin, Tone Generator, Ultrasonic Sensor. I. INTRODUCTION Theremin is a contactless electronic musical instrument invented by physicist Lon Theremin ] when he was doing research on proimity sensors for the Russian government in the early 920s. Theremin consist of two circuits, the pitch circuit and the volume circuit. The pitch circuit uses two tuned oscillator, a fied oscillator and a variable oscillator. The fied oscillator generates wave at a constant frequency meanwhile the variable oscillator is capable to generate a range of frequencies and is connected to a vertical antenna. By a process called heterodyning, wave from the fied oscillator is mied with wave from variable oscillator. The miture is then amplified to produce audible musical tone. On the other hand, the volume circuit is used to control the level of tone generated by the pitch circuit. This traditional theremin is bulky in term of dimension and epensive to be built. The basic ideas on how theremin works can be modified even further. One of the possible sensor to replace the antennas in theremin is ultrasonic sensor. An ultrasonic sensors will transmit ultrasonic waves and waiting for the return echo. By measuring time needed for the wave to travel back and forth, the distance between the sensor and an object (i.e. performer s hand) can be calculated. Therefore, the distance which represents the position of performer s hand can be used to generate specific tone on specific frequency and volume. The ultrasonic sensor will be directly connected to the general purpose pin in FPGA without additional peripheral. Dedicated logic circuit such as counter and trigger will be implemented in FPGA to operate the ultrasonic sensor. By using multiple dedicated logic circuit, multiple ultrasonic sensor can be driven simultaneously thus it can achieve more performance while maintaining distance tracking capability. The main circuit to implement the tone generator responsible for generating sound wave at specific frequency and amplitude is performed by CORDIC (Coordinate Rotation Digital Computer). CORDIC is a digital circuit that can be used to compute several common mathematical transcendental function. It was proposed by Jack E. Volder in 959 2]. CORDIC circuit is usually used when there is no hardware multiplier available or hardware multiplier is too epensive to be implemented. It only requires adder, substractor, bit shifter, and very small look-up table. The CORDIC circuit will use signed Q3.3 Fied Point format so that it can represent angle between π until π with acceptable precision. At the beginning, it is implemented in iterative structure. To increase the throughput, the CORDIC circuit is then modified into pipeline structure to be used as tone generator at an epense of area. At the end of this paper, a new redefined theremin is implemented by combining the ultrasonic sensor and CORDICbased tone generator. The full circuit including the ultrasonic sensor interfacing and tone generator is implemented in FPGA Altera Cyclone II EP2C35F672C6 of DE2-70 board. The theremin can generate basic tone in specific frequency and volume depends on performer s hand position without touching the instruments. II. A. Mathematical Review THE CORDIC CIRCUIT CORDIC Circuit is built based on mathematical formula as described in 3]. It is started from the famous rotation matri as follows ] ] cos(z) sin(z) y = () sin(z) cos(z)] y The rotation matri () can be rearranged into ] ] ] tan(z) y = cos(z) tan(z) y (2)

2 The value of cos(z) can be substituted using trigonometric identities in (3) so equation (4) can be obtained. y ] = cos(z) = +tan 2 (z) +tan 2 (z) tan(z) tan(z) ] y For a very small angle α i, the value of tan(α i ) can be approimated using (5). Using n iteration steps, the angle z can be approimated in (6) where α i = ±. This is the basic idea of CORDIC where the rotation of angle z is iteratively decomposed into i numbers of smaller micro rotation of angle α i. tan(α i )=2 i (5) ] (3) (4) n z = σ i α i (6) i=0 Therefore, the rotation matri can be rewritten in each iteration step as (7) where i denotes the iteration number (i.e. i is current iteration step, i previous iteration step). i ] = y i +2 2i K = σi 2 i n K i = i=0 σ i 2 i n i=0 +2 2i ] ] i y i As the iteration step approaches infinity, the approimation becomes more precise. Moreover, the multiplication result of K converges to which makes K can be considered as a constant operand thus it will reduce arithmetic compleity in designing the hardware. The region of convergence for z is z since i=0 α i = ]. B. CORDIC Algorithm Model Basic CORDIC algorithm has two different modes of iteration based on how the directions of the micro rotation are chosen. These two modes are rotation mode and vectoring mode. In rotation mode, CORDIC uses three equations which are defined in (9). The value of σ i is determined by the value of z i where σ i = when z i < 0 and σ i =otherwise. i+ = i y i σ i 2 i y i+ = y i + i σ i 2 i z i+ = z i σ i tan (2 i ) As the iteration step approaches infinity, the end result for the equation set are defined as follows = K( 0 cos(z 0 ) y 0 sin(z 0 )) y = K(y 0 cos(z 0 )+ 0 sin(z 0 )) z =0 (7) (8) (9) (0) In vectoring mode, CORDIC uses three equations which are defined in (). The value of σ i is determined by the value of y i where σ i =when y i < 0 and σ i = otherwise. i+ = i y i σ i 2 i y i+ = y i + i σ i 2 i () z i+ = z i + σ i tan (2 i ) As the iteration step approaches infinity, the end result for the equation set are epressed as follows = K y2 0 y =0 (2) z = z 0 +tan (y 0 / 0 ) Both rotation mode and vectoring mode need to use the value of tan (2 i ) in every step of iteration. The value of tan (2 i ) can be precomputed and stored in a lookup table thus reducing arithmetic operation compleity. Moreover, at the end of iteration, both rotation mode and vectoring mode will require a normalization to eliminate the factor of K by multiplying it with /K. The value of /K can also be precomputed thus the multiplication will involve only one constant multiplicand and one variable multiplicand which will reduce arithmetic operation compleity. With these two basic modes in CORDIC, there are four mathematics operation that can be done using CORDIC: sine function, cosine function, cartesian-to-polar coordinate conversion, and polar-to-cartesian coordinate conversion. C. Defined Fied Point Format CORDIC algorithm has a region of convergence z for the angle value. Therefore, it can only be used to calculate angle in st and 4th quadrant ( π/2 z π/2). With the help of simple mapping function, the CORDIC algorithm can be etended to be used for 2nd and 3rd quadrant ( π z π). Based on this fact, 6-bit fied point format that will be used throughout the circuit is in Q3.3 format as shown in Figure where one bit represent the sign in twos complement, two bits represent the integer (digit before radi point), and thirteen bits represent the fraction (digit after radi point). With this format, the possible smallest step of real number that can be represented is The range of representation that can be achieved is It is very sufficient to handle calculation involving angle in the range of π z π. D. Defined Analysis and Synthesis Settings The CORDIC circuit and the complete circuit are implemented to Altera Cyclone II EP2C35F672C6 of DE2-70 FPGA board. This board is clocked at maimum frequency 50 MHz. Area optimization must be carried out as long as the circuit Sign Integer Fraction Fig.. Q3.3 fied point format to be used throughout the circuit.

3 Fig. 2. XOR gate with 50 inputs as reference circuit for normalization can run at 50MHz. To synthesize our design, Altera Quartus II 9.sp2 is used while the simulation and verification are performed by using MentorGraphics ModelSim. Nowadays, comparing design head-to-head is very difficult because EDA tools become more advanced to find the most optimized implementation of a design. Therefore, we turn off all of optimization features in synthesizer to make comparison better. Furthermore, as a normalization parameter, we also synthesized an XOR gate which has 50 input as shown in Figure 2. The synthesis result including total area (i.e. logic elements) and performance (i.e. clock speed) can be obtained. Based on this data, we can normalize other design which is implemented on the same FPGA and same settings. We use VHDL file from 4] to implement the 50-input XOR gate. The XOR gate has been successfully synthesized. It uses 7 Logic Elements and it has maimum frequency of MHz according to the Timing Analyzer Summary. The registers are ecluded from resource usage count. E. CORDIC Iterative Structure At first, CORDIC based iterative structure is implemented. The idea behind this structure is to use the same circuit component (e.g. adder) during each step of iterations, minimizing the total area consumption. The circuit top level block diagram is shown in Figure 3. This CORDIC Circuit can be used to perform rotation mode and vectoring mode. The mode signal is used to choose between the vectoring mode (mode=0) or the rotation mode (mode=). The new_data signal is used to indicate that there is a valid dataset which is ready to be processed so that the circuit can perform calculation. The data_valid is used to indicate that there is a valid dataset which has been processed and available as output on the net clock cycle. Basically, it requires 6 clock cycles to process one dataset before valid data appears on the output port. Additional registers, the output registers are added as output buffer to make sure that the result is not changed while the net circuit uses it. The output register add one clock cycle delay to hold the output. Quadrant Mapper: CORDIC algorithm only can be used in st and 4th quadrant due to its region of convergence. Fig. 4. The quadrant mapper circuit Therefore, to etend the CORDIC to be used in 2nd and 3rd quadrant, quadrant mapper is employed as shown in Figure 4. Quadrant mapper will map 2nd and 3rd quadrant into corresponding location in st and 4th quadrant. Quadrant mapper uses some comparators to compare value of angle. With the information of mode, the comparison result is used as multipleer selector. There are also some sign inverter and carry look-ahead adder in the circuit. With this quadrant mapper, CORDIC can be used for all quadrants with π z π. Moreover, Q3.3 fied point format gives the circuit possibilities to perform calculation in its new region of convergence. Fig. 3. Top level diagram of CORDIC iterative structure Fig. 5. The CORDIC core circuit

4 TABLE I. SINE CALCULATION USING CORDIC ITERATIVE STRUCTURE AND MATLAB CORDIC Result MATLAB Result Angle (Rad) Binary Decimal Binary Decimal Fig. 6. The normalization circuit CORDIC Core: CORDIC core as shown in Figure 5 is the heart of CORDIC circuit where iterations take place. This circuit consists of three sub circuits which handle calculation of X, Y, and Z. ROM is utilized to store the value of tan (2 i ). Actually, the number of iterations can be reduced to 4 since the two last iterations do not have significant effect to our result. Normalization: The normalization circuit as depicted in Figure 6 is the last step of calculation. It multiplies the CORDIC iteration result with constant multiplicand /K to normalize its value. The value of /K in Q3.3 Fied Point Format is or equivalent with Since the multiplication consists one constant multiplicand, we can easily replace multiplier circuit with adder and shifter. Output Register: Output register is used as an output buffer. This register will hold the data result for one cycle to guarantee that the data result will not change when the net circuit read it. CORDIC Control Unit: The control unit consists of finite state machine which responsible to generate control signal based on each processing step. It has 6 states for iteration plus additional state to hold the result. The iteration will be started as soon as the control unit receive new_data signal. The data_valid signal will be high when iterations have been done and the valid data will be available in the net clock cycle. F. Implementation of CORDIC Iterative Structure Our CORDIC Iterative Structure consumes 972 logic elements consists of 957 total combinational functions and 34 dedicated logic registers. The Normalizer Circuit consumes approimately 45% of total logic elements used while the CORDIC Core consumes approimately 35% of total logic elements. Moreover, our CORDIC iterative structure can achieve operation frequency of MHz based on timing analyzer. In Table I, we compare our CORDIC iterative structure calculation result with MATLAB R204a calculation result using the same format. The difference between our CORDIC iterative structure and MATLAB has maimum of 3 least significant bit (LSB) differences (3-bit accuracy) which is acceptable enough to achieve precision up to 4 decimal digits after radi point. The generated sinewave from simulation is shown in Figure 7. G. CORDIC Pipeline Structure Our CORDIC iterative structure works very well with desired precision with the maimum speed of MHz. However, it cannot operate in our desired frequency of 50 MHz (DE2-70 Clock Generator). Thus, the structure is modified into pipeline as shown in Figure 8 to provide more throughput with higher operating frequency. The CORDIC core remains the same ecept we remove the feedback path and register. We also remove CORDIC control unit because we do not need to count the number of iterations as the data only flow through the pipeline. The mode and valid signal will also propagate throughout the pipeline stages to provide self-control to the net pipeline stage. H. Implementation of CORDIC Core Pipeline Structure Our pipeline CORDIC consumes 2242 logic elements consists of 255 total combinational functions and 800 dedicated logic registers. The normalizer circuit consumes approimately 20% of total logic elements used while the CORDIC Core consumes approimately 70% of total logic elements. Moreover, our pipeline CORDIC can achieve operation frequency of MHz which is very sufficient to be clocked at 50 MHz in our final FPGA implementation. I. Pipeline Stage Modification to CORDIC Pipeline Structure The longest path which prevents our pipeline CORDIC to operate at higher frequency is the normalization circuit. To

5 Fig. 7. ModelSim Simulation of our CORDIC iterative structure generating sine and cosine wave Fig. 8. CORDIC pipeline structure with 6 pipeline stages configured to calculate the value of sine from specific angle. The angle is incremented with specific frequency so that full form of specific sine wave with desired frequency can be generated repeatedly. We need Numerically Controlled Oscillator to provide proper clock frequency to generate the sine wave. The generated wave form will be sent to Wolfson WM873 audio codec integrated in DE2-70 FPGA board. increase the operating frequency, we have to divide lengthy path into several processing path thus adding more pipeline stages our design. The number of area consumption will be increased because we need to add registers for the new pipeline stages. The normalization circuit is divided into two stages and three stages to compare them with one stage normalization circuit. J. CORDIC Core Circuit Comparison There are several open source CORDIC circuit references to be compared. The reference circuit is synthesized with eactly the same hardware, synthesis tools, and configuration. There are 5 CORDIC circuits and 2 reference CORDIC circuits for comparison. Those references are taken from 5] and 6]. The comparison is shown in Table II. III. THE TONE GENERATOR The tone generator is implemented using our pipeline CORDIC with 6 pipeline stages. The CORDIC circuit is TABLE II. CORDIC CIRCUIT HEAD-TO-HEAD COMPARISON Parameter CORDIC Circuit Type Iterative Iterative 6-Pipeline 7-Pipeline 8-Pipeline Iterative 5-Pipeline Fied Point Format Q3.3 Q3.3 Q3.3 Q3.3 Q3.3 Q2.4 Q2.4 Number of Iterations Region of Convergence - π π -π π -π π -π π -π π -π/2 π/2 -π/2 π/2 Rotation Mode Yes Yes Yes Yes Yes Yes Yes Vectoring Mode Yes Yes Yes Yes Yes No No Total Logic Elements Total Combinational Total Registers Maimum Frequency (MHz) Processing Cycle Maimum Throughput (MIOPS) Normalized Total Logic Elements Normalized Maimum Frequency CORDIC Iterative Structure with 6 iterations. 2. CORDIC Iterative Structure with 4 iterations. 3. CORDIC Pipeline Structure with 6 pipeline stages (assuming pipelines are full). 4. CORDIC Pipeline Structure with 7 pipeline stages (assuming pipelines are full). 5. CORDIC Pipeline Structure with 8 pipeline stages (assuming pipelines are full) (assuming pipelines are full, separate circuit available for Vectoring Mode). A. Angle Generator Block Angle Generator block provides input angle to CORDIC circuit to be calculated thus the circuit can generate full sine wave. Angle generator will increment angle repeatedly. There are 5456 values of angle in Q3.3 fied point format which represent angle from π π. Angle generator is implemented to increment the angle by the value of 32. Therefore, to achieve one period of sine wave,,608 clock cycles is needed. At 20 KHz sine wave, we need, , 000 = 32, 60, 000 Hz 32 MHz. B. Numerically Controlled Oscillator Block Numerically controlled oscillator (NCO) provides clock needed for the angle generator to generate sine wave in specific frequency. The numerically controlled oscillator divides the main clock at 50 MHz into smaller frequency based on given divider. To determine the value of divider for specific frequency of sine wave, the following equation is used. divider = (3) f sine 608 C. Volume Adjustment Block To adjust the volume, an access to Audio Codec WM873 via I2C protocol is needed. Therefore, an I2C controller to send configuration data to the Audio Codec WM873 is built. The configuration will be sent to set the register RHPVOL and LHPVOL as described in 7]. The adjustment can be done to control the volume from -73 db until +6 db as well as to mute the volume. IV. THE ULTRASONIC SENSOR A. Paralla PING))) Ultrasonic Distance Sensor In this work, Paralla PING))) ultrasonic distance sensor is used. This sensor is equipped with two elements, the transmitter and the receiver. Generally, the sensor works by transmitting an ultrasonic pulse to the target and receiving the feedback pulse that reflected from the target. The return pulse s time contains the information to measure the distance between the sensor and the target.

6 Fig. 9. Complete circuit of the Redefined Theremin B. Sensor Interface Circuit Based on the datasheet, circuit to interface the PING))) sensor is created. Tri-state buffer to interface the I/O Pin on PING))) sensor is needed as the pin can be used as both input and output. A counter-based finite state machine to match the timing requirement is implemented. The finite state machine state will be changed based on counter value. There are four state of the finite state machine: triggering, waiting, counting echo, and delay. The delay state is needed before performing net measurement. Besides, to count the time needed for wave to travel, a distance counter is required. V. THE REDEFINED THEREMIN All of the circuits is then integrated to make a complete circuit. Two PING))) sensors are employed: frequency control and volume control. The frequency mapping block maps given distance range to a value of divider to generate sine wave in desired frequency. Higher divider means lower frequency. The volume mapping block maps given distance range to a value of volume level. Higher level means higher volume. The complete circuit is shown in Figure 9. The redefined Theremin is successfully implemented as standalone solution which does not need eternal hardware outside the board. The functionality of antenna can be changed with ultrasonic sensor hence the contactless feature of Theremin can be preserved. VI. CONCLUSION AND FUTURE WORK We have successfully designed and implemented our CORDIC Circuit. We started from the iterative structure to see how it works and to eamine the precision. We modified the iterative structure into pipeline structure. The pipeline structure can achieve operating frequency of 50 MHz. We also presented several variant of our CORDIC circuit so that we can choose which one that fits our need. We have also made PING))) sensor interfacing and successfully acquired the distance data from ultrasonic transmission. We mapped this distance to desired frequency and volume to generate sine wave in specific frequency and volume. Then, we integrate all of the component to perform complete circuit. Our solution is standalone which means that we do not need eternal processing hardware outside DE2-70 board. We have successfully made a newly redefined Theremin which has ultrasonic sensor to replace the antenna. For future work, the CORDIC circuit can be etended to support more mathematical functions such as hyperbolic function. Moreover, the usage of CORDIC circuit as a tone generator can be taken to higher level such that it can produce multiple frequencies at the same time. It will be useful to create sound synthesizer using additive synthesis and speech synthesizer based on sine wave. The ultrasonic sensor interfacing circuit can also be useful for more advanced applications. Multiple ultrasonic sensors can be operated simultaneously using dedicated interfacing circuit to achieve higher performance, lower latency, and better tracking capability compared to single core sequential microprocessor solutions. Applications such as advanced distance measurement, object locator, and object tracking can be built using ultrasonic sensor and FPGA with proper interfacing circuit. REFERENCES ] Y. Wu, P. Kuvinichkul, P. Y. Cheung, and Y. Demiris, Towards anthropomorphic robot thereminist, in 200 IEEE International Conference on Robotics and Biomimetics (ROBIO). IEEE, 200, pp ] J. E. Volder, The CORDIC trigonometric computing technique, Electronic Computers, IRE Transactions on, no. 3, pp , ] P. K. Meher, J. Valls, T.-B. Juang, K. Sridharan, and K. Maharatna, 50 years of CORDIC: Algorithms, architectures, and applications, Circuits and Systems I: Regular Papers, IEEE Transactions on, vol. 56, no. 9, pp , ] L. C. E. Committee, Cordic core overview, Okinawa, Japan, Jan 205. Online]. Available: 5] R. Herveille, Cordic core overview, Mar. 0, Online]. Available: 6] L. C. E. Committee, Cordic verilog code, Okinawa, Japan, Jan 205. Online]. Available: v.zip 7] Wm873 portable internet audio codec with headphone driver and programmable sample rates, Wolfson Microelectronics Ltd, Edinburgh, UK, Aug 200.

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

Available online at ScienceDirect. Anugerah Firdauzi*, Kiki Wirianto, Muhammad Arijal, Trio Adiono

Available online at   ScienceDirect. Anugerah Firdauzi*, Kiki Wirianto, Muhammad Arijal, Trio Adiono Available online at www.sciencedirect.com ScienceDirect Procedia Technology 11 ( 2013 ) 1003 1010 The 4th International Conference on Electrical Engineering and Informatics (ICEEI 2013) Design and Implementation

More information

FIR Filter for Audio Signals Based on FPGA: Design and Implementation

FIR Filter for Audio Signals Based on FPGA: Design and Implementation American Scientific Research Journal for Engineering, Technology, and Sciences (ASRJETS) ISSN (Print) 2313-4410, ISSN (Online) 2313-4402 Global Society of Scientific Research and Researchers http://asrjetsjournal.org/

More information

An Optimized Direct Digital Frequency. Synthesizer (DDFS)

An Optimized Direct Digital Frequency. Synthesizer (DDFS) Contemporary Engineering Sciences, Vol. 7, 2014, no. 9, 427-433 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2014.4326 An Optimized Direct Digital Frequency Synthesizer (DDFS) B. Prakash

More information

Rotation of Coordinates With Given Angle And To Calculate Sine/Cosine Using Cordic Algorithm

Rotation of Coordinates With Given Angle And To Calculate Sine/Cosine Using Cordic Algorithm Rotation of Coordinates With Given Angle And To Calculate Sine/Cosine Using Cordic Algorithm A. Ramya Bharathi, M.Tech Student, GITAM University Hyderabad ABSTRACT This year, 2015 make CORDIC (COordinate

More information

Evaluation of CORDIC Algorithm for the processing of sine and cosine functions

Evaluation of CORDIC Algorithm for the processing of sine and cosine functions International Journal of Business and Management Invention ISSN (Online): 2319 8028, ISSN (Print): 2319 801X Volume 6 Issue 3 March. 2017 PP 50-54 Evaluation of CORDIC Algorithm for the processing of sine

More information

CORDIC Based Digital Modulator Systems

CORDIC Based Digital Modulator Systems ISSN (Online) : 239-8753 ISSN (Print) : 2347-67 An ISO 3297: 27 Certified Organization Volume 3, Special Issue 5, July 24 Technology [IC - IASET 24] Toc H Institute of Science & Technology, Arakunnam,

More information

High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques

High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques T.Kranthi Kiran, Dr.PS.Sarma Abstract DPLLs are used widely in communications systems like radio, telecommunications,

More information

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter Afarulrazi Abu Bakar 1, *,Md Zarafi Ahmad 1 and Farrah Salwani Abdullah 1 1 Faculty of Electrical and Electronic Engineering, UTHM *Email:afarul@uthm.edu.my

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS

DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS Prajakta J. Katkar 1, Yogesh S. Angal 2 1 PG student with Department of Electronics and telecommunication,

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

Design of Adjustable Reconfigurable Wireless Single Core

Design of Adjustable Reconfigurable Wireless Single Core IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 2 (May. - Jun. 2013), PP 51-55 Design of Adjustable Reconfigurable Wireless Single

More information

A New Architecture for Signed Radix-2 m Pure Array Multipliers

A New Architecture for Signed Radix-2 m Pure Array Multipliers A New Architecture for Signed Radi-2 m Pure Array Multipliers Eduardo Costa Sergio Bampi José Monteiro UCPel, Pelotas, Brazil UFRGS, P. Alegre, Brazil IST/INESC, Lisboa, Portugal ecosta@atlas.ucpel.tche.br

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

Mohd Ahmer, Mohammad Haris Bin Anwar and Amsal Subhan ijesird, Vol. I (XI) May 2015/422

Mohd Ahmer, Mohammad Haris Bin Anwar and Amsal Subhan ijesird, Vol. I (XI) May 2015/422 Implementation of CORDIC on FPGA using VHDL to compare word serial & pipelined architecture. Mohd Ahmer 1, Mohammad Haris Bin Anwar 2, Amsal Subhan 3 Lecturer 1, Lecturer 2 M.Tech. Student 3 Department

More information

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Design of Multiplier Less 32 Tap FIR Filter using VHDL International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of Multiplier Less 32 Tap FIR Filter using VHDL Abul Fazal Reyas Sarwar 1, Saifur Rahman 2 1 (ECE, Integral University, India)

More information

Design of NCO by Using CORDIC Algorithm in ASIC-FPGA Technology

Design of NCO by Using CORDIC Algorithm in ASIC-FPGA Technology Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 9 (2013), pp. 1109-1114 Research India Publications http://www.ripublication.com/aeee.htm Design of NCO by Using CORDIC

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm V.Sandeep Kumar Assistant Professor, Indur Institute Of Engineering & Technology,Siddipet

More information

Stratix Filtering Reference Design

Stratix Filtering Reference Design Stratix Filtering Reference Design December 2004, ver. 3.0 Application Note 245 Introduction The filtering reference designs provided in the DSP Development Kit, Stratix Edition, and in the DSP Development

More information

Cyclone II Filtering Lab

Cyclone II Filtering Lab May 2005, ver. 1.0 Application Note 376 Introduction The Cyclone II filtering lab design provided in the DSP Development Kit, Cyclone II Edition, shows you how to use the Altera DSP Builder for system

More information

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN An efficient add multiplier operator design using modified Booth recoder 1 I.K.RAMANI, 2 V L N PHANI PONNAPALLI 2 Assistant Professor 1,2 PYDAH COLLEGE OF ENGINEERING & TECHNOLOGY, Visakhapatnam,AP, India.

More information

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices August 2003, ver. 1.0 Application Note 306 Introduction Stratix, Stratix GX, and Cyclone FPGAs have dedicated architectural

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design of Wallace Tree Multiplier using Compressors K.Gopi Krishna *1, B.Santhosh 2, V.Sridhar 3 gopikoleti@gmail.com Abstract

More information

REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS

REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS 17 Chapter 2 REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS In this chapter, analysis of FPGA resource utilization using QALU, and is compared with

More information

for amateur radio applications and beyond...

for amateur radio applications and beyond... for amateur radio applications and beyond... Table of contents Numerically Controlled Oscillator (NCO) Basic implementation Optimization for reduced ROM table sizes Achievable performance with FPGA implementations

More information

Mahendra Engineering College, Namakkal, Tamilnadu, India.

Mahendra Engineering College, Namakkal, Tamilnadu, India. Implementation of Modified Booth Algorithm for Parallel MAC Stephen 1, Ravikumar. M 2 1 PG Scholar, ME (VLSI DESIGN), 2 Assistant Professor, Department ECE Mahendra Engineering College, Namakkal, Tamilnadu,

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

Stratix II Filtering Lab

Stratix II Filtering Lab October 2004, ver. 1.0 Application Note 362 Introduction The filtering reference design provided in the DSP Development Kit, Stratix II Edition, shows you how to use the Altera DSP Builder for system design,

More information

Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm

Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm M. Suhasini, K. Prabhu Kumar & P. Srinivas Department of Electronics & Comm. Engineering, Nimra College of Engineering

More information

Keywords: Adaptive filtering, LMS algorithm, Noise cancellation, VHDL Design, Signal to noise ratio (SNR), Convergence Speed.

Keywords: Adaptive filtering, LMS algorithm, Noise cancellation, VHDL Design, Signal to noise ratio (SNR), Convergence Speed. Implementation of Efficient Adaptive Noise Canceller using Least Mean Square Algorithm Mr.A.R. Bokey, Dr M.M.Khanapurkar (Electronics and Telecommunication Department, G.H.Raisoni Autonomous College, India)

More information

ULTRASONIC TRANSMITTER & RECEIVER

ULTRASONIC TRANSMITTER & RECEIVER ELECTRONIC WORKSHOP II Mini-Project Report on ULTRASONIC TRANSMITTER & RECEIVER Submitted by Basil George 200831005 Nikhil Soni 200830014 AIM: To build an ultrasonic transceiver to send and receive data

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

Arithmetic Structures for Inner-Product and Other Computations Based on a Latency-Free Bit-Serial Multiplier Design

Arithmetic Structures for Inner-Product and Other Computations Based on a Latency-Free Bit-Serial Multiplier Design Arithmetic Structures for Inner-Product and Other Computations Based on a Latency-Free Bit-Serial Multiplier Design Steve Haynal and Behrooz Parhami Department of Electrical and Computer Engineering University

More information

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog 1 P.Sanjeeva Krishna Reddy, PG Scholar in VLSI Design, 2 A.M.Guna Sekhar Assoc.Professor 1 appireddigarichaitanya@gmail.com,

More information

Digital Signal Processing Techniques

Digital Signal Processing Techniques Digital Signal Processing Techniques Dmitry Teytelman Dimtel, Inc., San Jose, CA, 95124, USA June 17, 2009 Outline 1 Introduction 2 Signal synthesis Arbitrary Waveform Generation CORDIC Direct Digital

More information

CORDIC Algorithm Implementation in FPGA for Computation of Sine & Cosine Signals

CORDIC Algorithm Implementation in FPGA for Computation of Sine & Cosine Signals International Journal of Scientific & Engineering Research, Volume 2, Issue 12, December-2011 1 CORDIC Algorithm Implementation in FPGA for Computation of Sine & Cosine Signals Hunny Pahuja, Lavish Kansal,

More information

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay D.Durgaprasad Department of ECE, Swarnandhra College of Engineering & Technology,

More information

Design Implementation Description for the Digital Frequency Oscillator

Design Implementation Description for the Digital Frequency Oscillator Appendix A Design Implementation Description for the Frequency Oscillator A.1 Input Front End The input data front end accepts either analog single ended or differential inputs (figure A-1). The input

More information

THE DESIGN OF DIGITAL FREQUENCY SYNTHESIZER BASED ON VHDL

THE DESIGN OF DIGITAL FREQUENCY SYNTHESIZER BASED ON VHDL THE DESIGN OF DIGITAL FREQUENCY SYNTHESIZER BASED ON VHDL LI WENXING, ZHANG YE Department of Mechanical and Electrical Engineering, Xin Xiang University ABSTRACT Direct digital frequency synthesizer (DSS)

More information

FPGA implementation of DWT for Audio Watermarking Application

FPGA implementation of DWT for Audio Watermarking Application FPGA implementation of DWT for Audio Watermarking Application Naveen.S.Hampannavar 1, Sajeevan Joseph 2, C.B.Bidhul 3, Arunachalam V 4 1, 2, 3 M.Tech VLSI Students, 4 Assistant Professor Selection Grade

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

A software solution for displacement and angular speed measurement through virtual instrumentation

A software solution for displacement and angular speed measurement through virtual instrumentation software solution for displacement and angular speed measurement through virtual instrumentation NICOLE PTRSCOIU RON PONT DRIN TOMUS OGDN SOCHIRC utomatics, pplied Informatics and Computers Engineering

More information

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA.

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA. Volume 4, Issue 11, November 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Future to

More information

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter Dr.N.C.sendhilkumar, Assistant Professor Department of Electronics and Communication Engineering Sri

More information

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM 3 Chapter 3 IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA 3.1. Introduction This Chapter presents an implementation of area efficient SPWM control through single FPGA using Q-Format. The SPWM

More information

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER American Journal of Applied Sciences 11 (2): 180-188, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.180.188 Published Online 11 (2) 2014 (http://www.thescipub.com/ajas.toc) AREA

More information

Design and Simulation of a Modified 32-bit ROM-based Direct Digital Frequency Synthesizer on FPGA

Design and Simulation of a Modified 32-bit ROM-based Direct Digital Frequency Synthesizer on FPGA Amirkabir University of Technology (Tehran Polytechnic) Vol. 47, No. 1, Spring 2015, pp. 23-29 Amirkabir International Journal of Science& Research )AIJ-EEE) Design and Simulation of a Modified 32-bit

More information

ISSN Vol.07,Issue.08, July-2015, Pages:

ISSN Vol.07,Issue.08, July-2015, Pages: ISSN 2348 2370 Vol.07,Issue.08, July-2015, Pages:1397-1402 www.ijatir.org Implementation of 64-Bit Modified Wallace MAC Based On Multi-Operand Adders MIDDE SHEKAR 1, M. SWETHA 2 1 PG Scholar, Siddartha

More information

An Optimized Design for Parallel MAC based on Radix-4 MBA

An Optimized Design for Parallel MAC based on Radix-4 MBA An Optimized Design for Parallel MAC based on Radix-4 MBA R.M.N.M.Varaprasad, M.Satyanarayana Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India Abstract In this paper a novel architecture

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 Abstract Much work have been done lately to develop complex motor control systems. However they

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. ELECTRONIC PRINCIPLES AND APPLICATIONS

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. ELECTRONIC PRINCIPLES AND APPLICATIONS R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. DEPARTMENT OF PHYSICS QUESTION BANK FOR SEMESTER V PHYSICS PAPER VI (A) ELECTRONIC PRINCIPLES AND APPLICATIONS UNIT I: SEMICONDUCTOR DEVICES

More information

The Optimal Implementation of a Generator of Sinusoid

The Optimal Implementation of a Generator of Sinusoid American Journal of Applied Sciences Original Research Paper The Optimal Implementation of a Generator of Sinusoid Souhila Boudjema and Kaddour Saouchi Department of Electronics, Faculty of Engineering,

More information

Using an FPGA based system for IEEE 1641 waveform generation

Using an FPGA based system for IEEE 1641 waveform generation Using an FPGA based system for IEEE 1641 waveform generation Colin Baker EADS Test & Services (UK) Ltd 23 25 Cobham Road Wimborne, Dorset, UK colin.baker@eads-ts.com Ashley Hulme EADS Test Engineering

More information

Simultaneous Co-Test of High Performance DAC-ADC Pairs May 13-28

Simultaneous Co-Test of High Performance DAC-ADC Pairs May 13-28 Simultaneous Co-Test of High Performance DAC-ADC Pairs Adviser & Client Members Luke Goetzke Ben Magstadt Tao Chen Aug, 2012 May, 2013 1 Agenda Project Description Project Design Test and Debug Results

More information

ATA Memo No. 40 Processing Architectures For Complex Gain Tracking. Larry R. D Addario 2001 October 25

ATA Memo No. 40 Processing Architectures For Complex Gain Tracking. Larry R. D Addario 2001 October 25 ATA Memo No. 40 Processing Architectures For Complex Gain Tracking Larry R. D Addario 2001 October 25 1. Introduction In the baseline design of the IF Processor [1], each beam is provided with separate

More information

10. DSP Blocks in Arria GX Devices

10. DSP Blocks in Arria GX Devices 10. SP Blocks in Arria GX evices AGX52010-1.2 Introduction Arria TM GX devices have dedicated digital signal processing (SP) blocks optimized for SP applications requiring high data throughput. These SP

More information

6. DSP Blocks in Stratix II and Stratix II GX Devices

6. DSP Blocks in Stratix II and Stratix II GX Devices 6. SP Blocks in Stratix II and Stratix II GX evices SII52006-2.2 Introduction Stratix II and Stratix II GX devices have dedicated digital signal processing (SP) blocks optimized for SP applications requiring

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

IMPLEMENTATION OF DIGITAL FILTER ON FPGA FOR ECG SIGNAL PROCESSING

IMPLEMENTATION OF DIGITAL FILTER ON FPGA FOR ECG SIGNAL PROCESSING IMPLEMENTATION OF DIGITAL FILTER ON FPGA FOR ECG SIGNAL PROCESSING Pramod R. Bokde Department of Electronics Engg. Priyadarshini Bhagwati College of Engg. Nagpur, India pramod.bokde@gmail.com Nitin K.

More information

Measuring Distance Using Sound

Measuring Distance Using Sound Measuring Distance Using Sound Distance can be measured in various ways: directly, using a ruler or measuring tape, or indirectly, using radio or sound waves. The indirect method measures another variable

More information

CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR

CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR 95 CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR 4. 1 INTRODUCTION Several mobile communication standards are currently in service in various parts

More information

Implementing Multipliers with Actel FPGAs

Implementing Multipliers with Actel FPGAs Implementing Multipliers with Actel FPGAs Application Note AC108 Introduction Hardware multiplication is a function often required for system applications such as graphics, DSP, and process control. The

More information

Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA

Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA Aiman Zakwan Jidin 1,2, Irna Nadira Mahzan 1, Nurulhalim Hassim 1, Ahmad Fauzan Kadmin 1 1 Faculty of Engineering Technology,

More information

Implementation of Discrete Wavelet Transform for Image Compression Using Enhanced Half Ripple Carry Adder

Implementation of Discrete Wavelet Transform for Image Compression Using Enhanced Half Ripple Carry Adder Volume 118 No. 20 2018, 51-56 ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu Implementation of Discrete Wavelet Transform for Image Compression Using Enhanced Half Ripple Carry Adder

More information

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012 Vol. 8(34), pp. 1658-1669, 11 September, 2013 DOI 10.5897/SRE12.171 ISSN 1992-2248 2013 Academic Journals http://www.academicjournals.org/sre Scientific Research and Essays Full Length Research Paper Field-programmable

More information

Available online at ScienceDirect. The 4th International Conference on Electrical Engineering and Informatics (ICEEI 2013)

Available online at  ScienceDirect. The 4th International Conference on Electrical Engineering and Informatics (ICEEI 2013) Available online at www.sciencedirect.com ScienceDirect Procedia Technology 11 ( 2013 ) 680 688 The 4th International Conference on Electrical Engineering and Informatics (ICEEI 2013) Architecture Design

More information

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved.

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved. FPGA Implementation Platform for MIMO- Based on UART 1 Sherif Moussa,, 2 Ahmed M.Abdel Razik, 3 Adel Omar Dahmane, 4 Habib Hamam 1,3 Elec and Comp. Eng. Department, Université du Québec à Trois-Rivières,

More information

Parabolic Synthesis and Non-Linear Interpolation

Parabolic Synthesis and Non-Linear Interpolation Master s Thesis Parabolic Synthesis and Non-Linear Interpolation Adeel Muhammad Hashmi Department of Electrical and Information Technology, Faculty of Engineering, LTH, Lund University, January 2015. Master

More information

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 70-76 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org A FPGA Implementation of Power

More information

64 x 64 Bit Multiplier Using Pass Logic

64 x 64 Bit Multiplier Using Pass Logic Georgia State niversity ScholarWorks @ Georgia State niversity Computer Science Theses Department of Computer Science --6 6 6 Bit Multiplier sing Pass Logic Shibi Thankachan Follow this and additional

More information

A High Speed Wallace Tree Multiplier Using Modified Booth Algorithm for Fast Arithmetic Circuits

A High Speed Wallace Tree Multiplier Using Modified Booth Algorithm for Fast Arithmetic Circuits IOSR Journal of Electronics and Communication Engineering (IOSRJECE) ISSN: 2278-2834, ISBN No: 2278-8735 Volume 3, Issue 1 (Sep-Oct 2012), PP 07-11 A High Speed Wallace Tree Multiplier Using Modified Booth

More information

DESIGN OF LOW POWER MULTIPLIERS

DESIGN OF LOW POWER MULTIPLIERS DESIGN OF LOW POWER MULTIPLIERS GowthamPavanaskar, RakeshKamath.R, Rashmi, Naveena Guided by: DivyeshDivakar AssistantProfessor EEE department Canaraengineering college, Mangalore Abstract:With advances

More information

CHAPTER 4 DDS USING HWP CORDIC ALGORITHM

CHAPTER 4 DDS USING HWP CORDIC ALGORITHM 90 CHAPTER 4 DDS USING HWP CORDIC ALGORITHM 4.1 INTRODUCTION Conventional DDFS implementations have disadvantages in area and power (Song and Kim 2004b). The conventional implementation of DDS is a brute-force

More information

International Journal of Scientific & Engineering Research Volume 3, Issue 12, December ISSN

International Journal of Scientific & Engineering Research Volume 3, Issue 12, December ISSN International Journal of Scientific & Engineering Research Volume 3, Issue 12, December-2012 1 Optimized Design and Implementation of an Iterative Logarithmic Signed Multiplier Sanjeev kumar Patel, Vinod

More information

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA S.Karthikeyan 1 Dr.P.Rameshbabu 2,Dr.B.Justus Robi 3 1 S.Karthikeyan, Research scholar JNTUK., Department of ECE, KVCET,Chennai

More information

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST ǁ Volume 02 - Issue 01 ǁ January 2017 ǁ PP. 06-14 Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST Ms. Deepali P. Sukhdeve Assistant Professor Department

More information

VLSI Implementation of Cascaded Integrator Comb Filters for DSP Applications

VLSI Implementation of Cascaded Integrator Comb Filters for DSP Applications UCSI University From the SelectedWorks of Dr. oita Teymouradeh, CEng. 26 VLSI Implementation of Cascaded Integrator Comb Filters for DSP Applications oita Teymouradeh Masuri Othman Available at: https://works.bepress.com/roita_teymouradeh/3/

More information

CHAPTER 4 GALS ARCHITECTURE

CHAPTER 4 GALS ARCHITECTURE 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption

More information

Area Efficient and Low Power Reconfiurable Fir Filter

Area Efficient and Low Power Reconfiurable Fir Filter 50 Area Efficient and Low Power Reconfiurable Fir Filter A. UMASANKAR N.VASUDEVAN N.Kirubanandasarathy Research scholar St.peter s university, ECE, Chennai- 600054, INDIA Dean (Engineering and Technology),

More information

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION Riyaz Khan 1, Mohammed Zakir Hussain 2 1 Department of Electronics and Communication Engineering, AHTCE, Hyderabad (India) 2 Department

More information

Designing of DS CDMA-CI Transmitter through CORDIC and QPSK Modulator

Designing of DS CDMA-CI Transmitter through CORDIC and QPSK Modulator Designing of DS CDMA-CI Transmitter through CORDIC and QPSK Modulator Aftab Ahmed Khan (M.Tech, Student), Sarwar Raeen (Professor & HOD, EC Deptt.) Department of Electronics & Communication Engineering,

More information

A Novel Low-Power High-Resolution ROM-less DDFS Architecture

A Novel Low-Power High-Resolution ROM-less DDFS Architecture A Novel Low-Power High-Resolution ROM-less DDFS Architecture M. NourEldin M., Ahmed Yahya Abstract- A low-power high-resolution ROM-less Direct Digital frequency synthesizer architecture based on FPGA

More information

Computer Arithmetic (2)

Computer Arithmetic (2) Computer Arithmetic () Arithmetic Units How do we carry out,,, in FPGA? How do we perform sin, cos, e, etc? ELEC816/ELEC61 Spring 1 Hayden Kwok-Hay So H. So, Sp1 Lecture 7 - ELEC816/61 Addition Two ve

More information

Implementation of Truncated Multiplier for FIR Filter based on FPGA

Implementation of Truncated Multiplier for FIR Filter based on FPGA Implementation of Truncated Multiplier for FIR Filter based on FPGA Mr. A. D. Wankhade P.G. Scholar Department of ECE Government College of Engineering, Amravati wankhadeakash9@gmail.com Mr. S. S.Thorat

More information

Implementation of Orthogonal Frequency Division Multiplexing with FPGA

Implementation of Orthogonal Frequency Division Multiplexing with FPGA University of Arkansas, Fayetteville ScholarWorks@UARK Theses and Dissertations 5-2012 Implementation of Orthogonal Frequency Division Multiplexing with FPGA Qi Hao Yang University of Arkansas, Fayetteville

More information

A Survey on A High Performance Approximate Adder And Two High Performance Approximate Multipliers

A Survey on A High Performance Approximate Adder And Two High Performance Approximate Multipliers IOSR Journal of Business and Management (IOSR-JBM) e-issn: 2278-487X, p-issn: 2319-7668 PP 43-50 www.iosrjournals.org A Survey on A High Performance Approximate Adder And Two High Performance Approximate

More information

A Novel Approach For the Design and Implementation of FPGA Based High Speed Digital Modulators Using Cordic Algorithm

A Novel Approach For the Design and Implementation of FPGA Based High Speed Digital Modulators Using Cordic Algorithm A Novel Approach For the Design and Implementation of FPGA Based High Speed Digital Modulators Using Cordic Algorithm 1 Dhivya Jose, 2 Reneesh C Zacharia, 3 Rijo Sebastian 1 M Tech student, 2,3 Assistant

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION 2011 (October-November) Q-21 Draw function table of a half adder circuit? (2) Answer: - Page

More information

Quartus II Simulation with Verilog Designs

Quartus II Simulation with Verilog Designs Quartus II Simulation with Verilog Designs This tutorial introduces the basic features of the Quartus R II Simulator. It shows how the Simulator can be used to assess the correctness and performance of

More information

Using Soft Multipliers with Stratix & Stratix GX

Using Soft Multipliers with Stratix & Stratix GX Using Soft Multipliers with Stratix & Stratix GX Devices November 2002, ver. 2.0 Application Note 246 Introduction Traditionally, designers have been forced to make a tradeoff between the flexibility of

More information

Computer Architecture and Organization:

Computer Architecture and Organization: Computer Architecture and Organization: L03: Register transfer and System Bus By: A. H. Abdul Hafez Abdul.hafez@hku.edu.tr, ah.abdulhafez@gmail.com 1 CAO, by Dr. A.H. Abdul Hafez, CE Dept. HKU Outlines

More information

Using FPGA. Warin Sootkaneung Department of Electrical Engineering. and

Using FPGA. Warin Sootkaneung Department of Electrical Engineering. and Tl D.3 The Design of Bit-Serial Lattice Wave Digital Filter Using FPGA Warin Sootkaneung Department of Electrical Engineering Rajamangala University of Technology Phra Nakhon, Thewes Campus Bangkok, Thailand

More information