IN OUT VDD VSUBSTRATE VNWELL. p+ p+ n+ n+ n-well. p- SUBSTRATE

Size: px
Start display at page:

Download "IN OUT VDD VSUBSTRATE VNWELL. p+ p+ n+ n+ n-well. p- SUBSTRATE"

Transcription

1 Device-Circuit Optimization for Minimal Energy and Power Consumption in CMOS Random Logic Networks Pankaj Pant Georgia Institute of Technology Vivek De Intel Corp., Hillsboro, OR Abhijit Chatterjee Georgia Institute of Technology Abstract We demonstrate a new approach for minimizing the total of the static and the dynamic power dissipation components in a CMOS logic network required to operate at a specied clock frequency using joint optimization of both device and circuit designs for a specic logic schematic and activity prole. We present a new approach to designing ultra low-power CMOS logic circuits by joint optimization of supply voltage, threshold voltage and device widths for a specied speed constraint. The static (leakage) and dynamic (switching) energy components are considered and an ecient heuristic is developed that delivers over an order of magnitude savings in power over conventional optimization methods. 1 Introduction Traditionally, approaches to minimizing the dynamic power dissipation or switching energy consumption of static CMOS logic networks have advocated straightforward reduction in the supply voltage. It has been shown that the resulting throughput loss on account ofincreased gate delay can be eectively compensated through increased data-path parallelism in special-purpose signal-processing applications and by careful transistor sizing [3]. Methodologies for minimizing the sum total of static or leakage and dynamic energy consumption in general-purpose CMOS processes without regard to a performance requirement have also been proposed [2]. Total power is minimized through selection of supply and threshold voltage values such that the leakage and switching components of the dissipation are equal. The accompanying performance loss can be compensated to some degree by minimizing the product of the switching energy and the propagation delay instead of power or energy alone. The relationship between transistor sizing and power has been examined in [10]. A study of supply and threshold voltage selection for low power has been performed in [7]. However, the focus of this study is dierent from the CMOS circuit networks discussed in our paper. In this paper, we propose a comprehensive approach to the minimization of the sum total of the static and dynamic energy dissipation components in a CMOS random logic network required to operate at a specied clock frequency. This This research was supported by NSF Grant No MIP at the Georgia Institute of Technology. \Permission to make digital/hard copy of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for prot or commercial advantage, the copyright notice, the title of the publication and its date appear, and notice is given that copying is by permission of ACM, Inc. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specic permission and/or a fee." DAC 97, Anaheim, California c1997 ACM /97/06... $3.50 power minimization is accomplished through conjoint optimization of both device and circuit designs for a specic logic schematic, activity prole and critical path delay requirement. In particular, we determine the optimal supply voltage for the entire module, one or more distinct threshold voltage values for groups of MOSFETs and a channel width for each transistor that minimizes total power or the total energy consumption per cycle while satisfying the critical path delay constraint. The resulting designs operate at low supply voltages and have comparable leakage and switching power dissipation components. The leakage current becomes signicant due to the need to reduce threshold voltage with reduced supply voltage to maintain speed. The underlying optimization algorithms and the associated CAD tools allow an order of magnitude reduction in power consumption over designs optimized for only supply voltage and device widths (as opposed to supply voltage, device widths and threshold voltage). The algorithms discussed in this paper can be used to design a CMOS process for ultra low power designs (the algorithms inuence threshold voltage selection). In determining the threshold voltage for a process being developed for future applications, one may use the algorithms on existing benchmarks with predicted circuit timing parameters to nd the most desirable threshold voltage. Also, the methods discussed in this paper can be used to statically adjust the threshold voltage as follows. The threshold-adjust implant step [2] which produces low V ts natural nmos and pmos transistors is eliminated. A static reverse bias is applied to the p-substrate and the n-wells to obtain the desired nmos and pmos V ts values, respectively. An example of an inverter designed this way isshown in Figure 1. Akey advantage of this approach is that one can design ultra low-power VLSI with existing CMOS manufacturing technology. VDD VNWELL p+ p+ n+ n+ n+ p+ n-well IN OUT p- SUBSTRATE VSUBSTRATE Figure 1: Adjustment of threshold voltage in CMOS technology In summary, the new power minimization technique provides the following advantages over existing techniques: 1) power reduction is accomplished without any performance loss; 2) both static and dynamic power consumption com-

2 ponents are minimized; and 3) optimizations are performed conjointly across device and circuit design. Moreover, the energy savings yielded by this technique is in addition to what is achievable by activity minimization through assorted architectural and algorithmic techniques [3]. 2 The Power Minimization Problem The power minimization problem can be stated as follows: Given : 1)arandom logic network of N static CMOS gates, 2) a required operational clock frequency f c, 3) a device technology and 4) activity proles at each input node, Determine : 1) the supply voltage V dd, 2) the threshold voltage V TSi of each MOSFET and 3) the channel width W i of each device such that the sum total of the static and dynamic components of energy consumption in a clock cycle is minimized while allowing operation at the desired clock frequency f c. The number n v 1 of distinct threshold voltages that are allowed by the tolerable technology complexity is also specied. For simplicity of fabrication and design, it is desirable that all nmos threshold voltages and pmos threshold voltages are identical (n v = 1). Increasing the number of distinct threshold voltages incurs proportional escalation of processing or design complexity, requiring for example, 1) additional implant masking steps, or 2) generation and application of multiple tub biases [2] as shown in Figure 1, and 3) migration to a triple-tub process. The net length associated with each node, as dictated by the physical and architectural characteristics of a random logic network, is required for the determination of the interconnect capacitive load driven by each gate. We have employed a complete stochastic wire-length distribution model, derived from rst principles through recursive application of Rent's rule and the principle of conservation of I/O's [4], [5] for net length estimation. In Appendix A we present an accurate model for the calculation of the power consumption and propagation delay in CMOS networks. These models have been extensively validated with HSPICE. 3 Physics of the Device-Circuit Optimization for Minimum Dissipation To illustrate the dynamics of the power minimization process, let us consider a fully loaded static CMOS gate resident in a random logic network and required to operate at a specied clock rate frequency. The desired clock frequency constrains the delay of the gate not to exceed a certain value. The activity factor of the gate is assumed to be known. As the supply voltage is scaled down, the threshold voltage must simultaneously be reduced and the device width increased to maintain a constant speed. While lowering the supply voltage causes the dynamic component of the dissipation to reduce quadratically (as expected), the threshold voltage reduction causes the static or leakage dissipation to increase exponentially. In addition, concurrent increase in device width contributes to larger static dissipation and to some extent prevents the dynamic power component from reducing quadratically. Therefore, the sum total of the static and the dynamic components of dissipation is minimized by a unique choice of supply voltage, threshold voltage and device width values when the sum of the increased static dissipation due to lower threshold voltage and larger device width and the increased dynamic dissipation due to larger device width equals the reduction in the dynamic power due to power supply voltage scaling. These results have been extensively studied and presented in [1]. 4 Algorithm Our goal is to minimize the power consumption, over all gates, of a given CMOS random logic network. The power consumption of each gate is the sum of its static and dynamic power consumption as given by the respective equations in Appendix A.1. As discussed in Appendix A.1, the power consumption of each gate is a non-linear function of V TSi, w i and V dd. The expression for total power consumption of a logic network with N gates is a non-linear expression of N +2 variables, under the assumption that all the gates have the same supply voltage V dd and the same threshold voltage V TSi. This non-linear cost function has to be minimized under the constraint that the sum total of the delays of all the gates in the circuit's critical path(s) must be less than or equal to the desired delay ofthe logic circuit. Let P be the total number of paths considered and let n j be the number of gates in the j th path, j = 1;:::;P. Let E si and E di be the static and dynamic components of the power dissipation of the i th gate in the j th path. The nonlinear optimization P problem can now be stated as i=n Pfollows: Minimize i=1 (Esi + E di) under the constraints nj i=1 t di maxdelay; j =1;:::;P. In the above, note that both the cost function and constraints are highly nonlinear functions. Due to the computational complexity involved, we propose a fast heuristic to solve the optimization problem. This heuristic is based on the observation that the the larger the allowed delay of a single CMOS gate, the lower is the optimum power consumption of the gate for the given delay, over all V TSi, w i and V dd values. Hence, it is clear that any heuristic should attempt to make the total delays of all the circuit paths, including noncritical paths, as close to the critical path delay of the circuit as possible, in order to achieve minimum power consumption. There are four parameters associated with each logic gate, namely, V TSi, w i, V dd and the delay t di. Out of these, the only parameter that can be controlled independently for each gate is w i. In the limiting (and the most practical) case, one must assign the same threshold voltage V TSi and the same supply voltage V dd to all the logic gates. Our heuristic approach then, is to assign delay values to all the logic gates in such a way as to minimize overall power consumption. This eliminates one out of the four variables associated with each gate. We next solve for V TSi, w i and V dd associated with each gate using numerical methods, keeping within the maximum number of distinct threshold and power supply voltages permitted by the technology. (we retain the exibility to use more than one threshold or power supply voltage if desired). 4.1 Activity Estimation We assume that the signal probability and activity proles at the circuit inputs are supplied. In general, such information for a combination circuit can be obtained from activity proling of the architecture in which the circuit is embedded. To calculate the activities at the internal nodes we use the method of transition densities described in [8]. This method of computing the transition density of each circuit node does not take into account input signal correlations

3 and is a rst order approximation to more complex transition density computation algorithms [11]. In the energy and delay equations described in Appendix A.1, the activity factor, a i, is simply the transition density at the output of that operator. 4.2 Determination of Gate Delays In the following, we rst describe our heuristic procedure for assigning delay values to all the logic gates to minimize power consumption. We dene the criticality, N cj, of the j th path from an input to an output of the given CMOS random logic P as the sum i=n of the fanouts of the gates in the path, ie. N cj = j i=1 foij where n j is the number of gates in the j th path, f oij is the fanout of the i th gate in the j th path. The most critical path in the network is dened as the one in which the sum of the fanouts of the gates in that path is the maximum. The specied cycle time (critical path delay) must meet the following criterion, given as, T c t dc b i=n X cp i=1 f oi (1) where, t dc is the delay per fanout of a gate in the critical path, and b 1 is the clock skew factor. In eect, if each gate in this path has the desired t dc, then the delay of each gate is proportional to its fanout. Thus, the delay of the i th gate in the most critical path is, t MAXi = f oit dc = f oi! bt P c i=n cp i=1 f oi If there is more than one path with the same maximum criticality value N cj, then one of the paths is arbitrarily chosen as the most critical path and the above equation used to assign maximum delay values to all the gates in that path. The second most critical path is then determined. There are two possibilities: (a) all the gates in the second path have unassigned maximum delay values or (b) one or more gates in this path have already been assigned maximum delay values. The latter will happen when these gates also belong to other paths with higher criticality values than the path being currently considered. In case (a), Equation 2 is used as before, to assign maximum delay values to all the relevant logic gates. In case (b), the maximum allowable delay of the i th hitherto unconsidered gate in the j th path is determined as, t MAXij = f oij P m=n bt c, dj! m=1 t P MAXm i=n j,n dj i=1 f oij where, n dj (0 n dj n j) is the number of gates which are parts of paths more critical than the current j th path under consideration, i.e. the maximum allowable delay of these gates has already been determined. In eect, the difference between the available clock period and the sum of the maximum delays already assigned to gates of the path is distributed among the remaining gates in the path in proportion to their fanouts. This strategy ensures that the maximum allowable delay of each gate is dictated by the most critical path intersecting that gate. We continue this for the next most critical path, and so on, until all the gates in the (2) (3) network have been assigned a maximum delay value. Procedure 1 outlines the algorithm for the assignment of delays to the gates in the circuit. It can be shown that the assignment of delays using Procedure 1 ensures that there does not exist any circuit path with total delay larger than T c. Procedure 1 Assign Maximum Delays to Gates b clock skew factor for all gates g i do g i unassigned while 9g i unassigned do P j next most critical path n dj number of assigned gates in P j if n dj P1 then m=n T dj A m=1 t MAXm T A 0 for all unassigned gates g i 2 P j do bt t MAXij = f c,ta oij P i=n j,n dj f i=1 oij g i assigned end while Since, the number of paths in a logic network is exponential in the number of gates in the network it is unreasonable, in terms of both time and space, to sort the paths before assigning the delays to each gate. Ju and Saleh [6] have demonstrated ecient algorithms for enumerating the K-most critical paths in the circuit in decreasing order of criticality, where they dene the criticality of a path as the number of gates in the path. We use a modied version of the algorithm in [6], with changes made to incorporate our notion of criticality of paths, which takes O(Knlog(n)) time, where n is the number of gates in the circuit. In practice however, we make some adjustments to Procedure 1. From the equations of Appendix A.2 it is seen that the delay of a logic gate has one component that is dependent entirely upon the maximum of the delays of all the logic gates driving that gate. Consequently, if the assigned delay ofthe driving gate is much larger than the assigned delay of the driven gate, then it may not be possible to nd any combination of V dd, V ts and W i values that satisfy the assigned delay of the driven gate. In this event, some post processing of delay assignments (typically for a very small fraction of the total number of logic gates) is done in order for the heuristic algorithm to be able to nd a solution to the problem without violating the overall delay constraint. 4.3 Optimization for Minimum Power After the maximum delays have been assigned to each gate in the circuit, we optimize each gate individually for minimum power. The strategy is to nd iteratively, using binary search, the optimal combination of V dd, v tsi, and w i for each gate that meets the maximum delay condition while achieving minimum power dissipation. This strategy is based on the observation that power consumption and delay are monotonic functions of V dd, V ts and W i, individually, other parameters being xed. Since it is impractical to have more than one power supply in the circuit, we keep only one global value of V dd. However, we allow the use of multiple threshold values in the circuit if desired. The scheme

4 is outlined in Procedure 2. In the procedure, XRange denotes the range of values that the variable X can take. The subroutine MID(XRange) returns the central value of XRange, while LOWER(XRange) and HIGHER(XRange) return the lower and higher subranges of XRange, splitting it at MID(XRange). The algorithmic complexity of this procedure depends on the number of iteration steps that we allow for convergence to the optimal values. Assuming that each loop runs M times, it takes O(M 3 ) simulations of the entire circuit to obtain the nal optimal values. This is many orders of magnitude lower than the complexity ofany direct or random search algorithm that may be used to search for the optimal solution. The algorithm is outlined below in Procedure 2. Procedure 2 Optimize V dd Range [0:1; 3:3] (Volts) for M steps do V dd MID(V dd Range) v tsrange [0:1; 0:7] (Volts) for M steps do v ts MID(v tsrange) for all gates g i do W Range [1; 100] for M steps do if t d t MAXgi then W Range LOW ER(W Range) W Range HIGHER(WRange) if 8g i : t dgi t MAXgi & Total energy decreased then vtsrange HIGHER(v tsrange) vtsrange LOW ER(v tsrange) if 8g i : t dgi t MAXgi &Total energy decreased then V dd Range LOW ER(V dd Range) Vdd Range HIGHER(V dd Range) To summarize, from the knowledge of the cycle time, T c, delays are assigned to all the gates using Procedure 1. Next, using Procedure 2, we compute V dd, V ts and device width w i values for each gate in the circuit to minimize power consumption while preserving the cycle time constraint. For evaluation purposes, we have also implemented an optimization tool for the above problem using multiple-pass simulated annealing. Our approach performed signicantly better than annealing over all the circuits. The details are omitted for brevity. 5 Results We ran our optimization algorithms on several ISCAS benchmark circuits. In this section we present the results obtained for the algorithms. Table 1 shows the static and dynamic energy consumption of the circuits under minimum total power for two dierent input activities for a xed threshold voltage of 700mV. The energy consumption metrics were obtained by optimizing the device widths and supply voltage to minimize power while meeting a cycle time constraint of 300MHz. It is assumed that the activity levels are the same over all the inputs to the circuit. In practice, however, the input activity levels will be dierent. Table 1 was generated to serve asa basis for comparative evaluation of our power minimization algorithm. Table 2 shows the static and dynamic energy components yielded by our algorithm for all the benchmark logic networks of Table 1. It is seen that the total energy dissipation of the circuits reduces by factors larger than 10 compared to the situation where only the device-widths are varied to decrease the power consumption without any performance loss. It can also be seen that the static and the dynamic power components are approximately equal, thus conrming the previously discussed physics of the optimization process. We note that the savings increase with specied input activity levels. Computation time for these circuits range between 5s and 20s. For reasons of practical utility, the data in Table 2 was obtained assuming the use of a single supply and threshold voltage across all the gates. The values for the threshold voltage returned by the heuristic were in the range of mV while the supply voltages ranged between 600mV and 1.2V. In the case of the optimization with the threshold xed at 700mV, the optimization coincidentally returned V dd values close to 3.3V. We performed experiments to determine the impact of the threshold voltage variation due to process uctuations on the amount ofpower savings possible. We modied our optimization algorithm to use worst-case values of threshold voltage (ie. nominal plus-minus allowed percentage variation) during the delay and power computation. The delay of the optimized circuit is guaranteed to meet the cycle time constraint under the stated threshold variation. The worst case power under the stipulated V ts variation is used to compute the power savings over the benchmark of Table 1 for dierent V ts tolerance values. This data is shown in Figure 2(a) for the circuit s298. We also explored the role of the available cycle time on the power savings obtained for dierent circuits. Figure 2(b) shows the data obtained for s298. Power Savings Power Savings Percentage Variation in Threshold Voltage (a) Power Savings Considering Vth Fluctuations Cycle Time Slack (b) Power Savings Considering Clock Skew Figure 2: Process Variations and Clock Skew Considerations We ran a simulated annealing based algorithm on the benchmark circuits. Though we expect simulated annealing to return a near-optimal solution, in most cases, we nd that it does not perform as well as the proposed heuristic.

5 Input Static Dynamic Total Critical Circuit Gates Depth Activities Energy Energy Energy Delay(ns) s e e e e e e s e e e e e e s e e e e e e s e e e e e e s e e e e e e s e e e e e e s e e e e e e s e e e e e e Table 1: Performance of Benchmark Circuits Circuit Input Static Dynamic Total Critical Activities Energy Energy Energy Delay(ns) Savings s e e e e e e s e e e e e e s e e e e e e s e e e e e e s e e e e e e s e e e e e e s e e e e e e s e e e e e e Table 2: Optimization Results for Heuristic This is because the size of the optimization problem is too large for annealing to converge in a practical amount of time. Hence, the use of the proposed heuristic is well justied. 6 Conclusions and Future Work We have demonstrated a new comprehensive approach to minimizing the sum total of the static and dynamic dissipation components in a CMOS random logic network required to operate at a specied clock frequency. This power minimization is accomplished through the conjoint optimization of both device and circuit designs for a specic logic schematic and activity prole. In particular, we determine the optimal supply voltage for the entire logic module, one or more distinct threshold voltage values for a group of MOS- FET's and a channel width for each transistor that minimizes the total power or the total energy consumption per cycle. It is shown that the new algorithm yields reductions in power by typically a factor of 25 (compared to optimization by changing the device widths and the supply voltages) across a number of benchmark logic networks. Consequently the new power minimization technique provides the following advantages over previous approaches: 1) power reduction is achieved without performance loss, 2) both static and dynamic components are optimized, 3) the algorithm is fast. Moreover, the energy savings are in addition to what is achievable by activity minimization through assorted architectural and algorithmic techniques. Appendix A : Energy and Delay Models The device and circuit optimizations for minimum energy are performed under a required cycle time constraint. Consequently, accurate models of dynamic energy, static energy and signal propagation delay are essential for ecient computation of the optimization cost functions. A.1 Energy Model Equations The equations used to compute the dynamic and static energy dissipations of a gate are described next. Similar models have been presented and analyzed in a recent work by Bhavnagarwala et.al. [1]. It is assumed that the gates are simple multi-input gates with symmetric series or parallel pull-up

6 and pull-down MOSFET congurations. Contributions of subthreshold leakage through the MOSFET channel as well as the leakage across the device drain junctions to static dissipation are included. The short-circuit component ofthe dissipation is neglected since under typical input signal rise time and output load conditions it is an order-of-magnitude smaller than the switching energy [12]. However, these are being incorporated in the next version of the optimization tool. 1. Static Energy Dissipation of the i th Gate (i 2 N): E si = V ddw ii off f c (A1) V dd is the power supply voltage, f c is the clock frequency, I off is the o current per unit feature size device width, w i 1 is the device width in minimum feature size F (adjusting w i scales the widths of all the transistors in the gate). 2. Dynamic Energy Dissipation of the i th Gate (i 2 N): E di = 1 2 aiv dd 2 w i fc PDi +(f ii, 1)C mig X j=f oi + (w ijctij + C INTi j) j=1 (A2) a i 1 is the activity factor of the output node, f ii 1 is the number of inputs, f oi 1 is the number of fanouts, w ij i is the width of the device in minimum feature size at the j th fanout, C PDi is the sum of the overlap, junction and fringing capacitances at the output node per unit feature size device width, C mi is the intermediate node capacitance of series-connected MOSFETs in multi-fanin gates, C tij is the input capacitance per unit feature size width of the MOSFET being driven by the j th fanout, C INTij is the interconnect capacitance load at the j th fanout. A.2 Delay Model Equations We use a transregional model for estimating the worst-case signal propagation delay through a gate. The delay model has been derived using an extension of the alpha-power law saturation drain current model [9] to subthreshold region. The drain current model incorporates eects of high-eld and quasi-ballistic (velocity overshoot) carrier transport in the MOSFET channel. All components of the delay, namely, 1) the delay due to switching MOSFETs, 2) the distributed RC delay of the interconnection, 3) the time of ight delay, 4) the delay component due to the non-zero rise time of the input signal are considered. The unique feature is that the model is \transregional", ie. the model is accurate for both subthreshold (V dd V TSi ) and superthreshold (V dd >V TSi ) operation of the switching MOSFET. By allowing subthreshold switching, we unleash the opportunity for substantial reduction in the supply voltage (and thus, energy and power) in situations where the delay requirement is not very demanding. The superthreshold delay model equations are described below. Similar models are used for subthreshold of operation. Note that the computed delays are the worst case delays through multi-input AND, OR, NAND, NOR gates. Superthreshold Operation Delay ofthe i th Gate (V dd > V TSi ): t di =! 1 2, 1, V tsi V dd 1+ max j 2 (1;f ii) ft dijg + V dd 2[I Diw, f iii off ] + max j 2 (1;f oi) CmiV dd X "CPDi + 1wi j=f oi R INTij w ijc tij CINT ij X j=f ii,1 j=1 1 I Diw(j) (w ijc tij + C INTij ) j=1 + LINT ij v ij # (A3) V tsi is the threshold voltage of the i th gate, t dij is the delay of the gate at the j th fanin, I Diw(f ii) is the switching drain current per unit feature size device width, R INTij is the resistance of the interconnect at the j th fanout, v ij is the eective propagation velocity through the interconnect at the j th fanout, 1 is the pmos to nmos width ratio, 1 2 is the velocity saturation coecient. References [1] Bhavnagarwala, A., De, V., Austin, B., and Meindl, J. Circuit techniques for CMOS low power GSI. In International Symposium on Low Power Electronics and Design: Digest of Technical Papers (Aug 1996), pp. 193{196. [2] Burr, J., and Shott, J. A 200 mv self-testing encoder-decoder circuit using stanford ultra low power CMOS. In ISSCC: Digest of Technical Papers (Feb 1994), pp. 84{85. [3] Chandrakasan, A., and Brodersen, R. Minimizing power consumption in digital CMOS circuits. Proceedings of the IEEE 83, 4 (Apr 1995), 498{523. [4] Davis, J., De, V., and Meindl, J. Optimal low power interconnect networks. In 1996 Symposium on VLSI Technology: Digest of Technical Papers (Jun 1996), pp. 1002{1008. [5] Davis, J., De, V., and Meindl, J. A priori wiring estimations and optimal multilevel wiring networks for portable ulsi systems. In Procedings of the 46 th Electronic Components and Technology Conference (May 1996), pp. 78{79. [6] Ju, Y.-C., and Saleh, R. Incremental technique for the identication of statically sensitizable critical paths. In Proceedings of the 28 th Design Automation Conference (1991), pp. 542{546. [7] Liu, D., and Svensson, C. Trading speed for low power bychoice of supply and threshold voltages. IEEE Journal of Solid-State Circuits 28, 1 (Jan 1993), 10{17. [8] Najm, F. Transition density: A stochastic measure of activity in digital circuits. In Proceedings of the 1991 Design Automation Conference (Jun 1991), pp. 644{ 649. [9] Sakurai, T., and Newton, A. Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE Journal of Solid-State Circuits 25, 2 (Apr 1990), 584{594. [10] Sapatnekar, S. S., Rao, V. B., Vaidya, P. M., and Kang, S. M. An exact solution to the transistor sizing problem for CMOS circuits using convex optimization. IEEE Transactions on Computer-Aided Design 12, 11 (Nov 1993), 1621 { [11] Stamoulis, G., and Hajj, I. Improved techniques for probabilistic simulation including signal correlation eects. In Proceedings of the 30 th Design Automation Conference (1993). [12] Veendrick, H. Short-circuit dissipation of static CMOS circuitry and its impact on the design of buer circuits. IEEE Journal of Solid-State Circuits 19, 4 (Aug 1984), 468{473.

Hierarchical Power Optimization for System-on-a-Chip (SoC) through CMOS Technology Scaling

Hierarchical Power Optimization for System-on-a-Chip (SoC) through CMOS Technology Scaling Hierarchical Power Optimization for System-on-a-Chip (SoC) through CMOS Technology Scaling Kyu-won Choi and Abhijit Chatterjee School of Electrical and Computer Engineering Georgia Institute of Technology,

More information

Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits

Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits 390 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 2, APRIL 2001 Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits TABLE I RESULTS FOR

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

CMOS System-on-a-Chip Voltage Scaling beyond 50nm Abstract Circuit and Device Models Introduction

CMOS System-on-a-Chip Voltage Scaling beyond 50nm Abstract Circuit and Device Models Introduction CMOS System-on-a-Chip Voltage Scaling beyond 50nm Azeez J Bhavnagarwala, Blanca Austin, Ashok Kapoor and James D Meindl Microelectronics Rserch. Cntr. and School of Elec. and Comp. Engr., Georgia Institute

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization

Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization David Nguyen, Abhijit Davare, Michael Orshansky, David Chinnery, Brandon Thompson, and Kurt

More information

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman,

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Kaushik Roy. possible to try all ranges of signal properties to estimate. when the number of primary inputs is large. In this paper.

Kaushik Roy. possible to try all ranges of signal properties to estimate. when the number of primary inputs is large. In this paper. Sensitivity - A New Method to Estimate Dissipation Considering Uncertain Specications of Primary Inputs Zhanping Chen Electrical Engineering Purdue University W. Lafayette, IN 47907 Kaushik Roy Electrical

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Short-Circuit Power Reduction by Using High-Threshold Transistors

Short-Circuit Power Reduction by Using High-Threshold Transistors J. Low Power Electron. Appl. 2012, 2, 69-78; doi:10.3390/jlpea2010069 OPEN ACCESS Journal of Low Power Electronics and Applications ISSN 2079-9268 www.mdpi.com/journal/jlpea/ Article Short-Circuit Power

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Fast Statistical Timing Analysis By Probabilistic Event Propagation

Fast Statistical Timing Analysis By Probabilistic Event Propagation Fast Statistical Timing Analysis By Probabilistic Event Propagation Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, and Angela Krstić Electrical and Computer Engineering Department, University of California,

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

CMOS Circuit Design for Minimum Dynamic Power. and Highest Speed

CMOS Circuit Design for Minimum Dynamic Power. and Highest Speed CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja Vishwani D. Agrawal y Michael L. Bushnell Rutgers University, Dept. of ECE Rutgers University, Dept. of ECE Rutgers University,

More information

8. Combinational MOS Logic Circuits

8. Combinational MOS Logic Circuits 8. Combinational MOS Introduction Combinational logic circuits, or gates, witch perform Boolean operations on multiple input variables and determine the output as Boolean functions of the inputs, are the

More information

Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits

Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits Atila Alvandpour, Per Larsson-Edefors, and Christer Svensson Div of Electronic Devices, Dept of Physics, Linköping

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing W. S. Pitts, V. S. Devasthali, J. Damiano, and P. D. Franzon North Carolina State University Raleigh, NC USA 7615 Email: wspitts@ncsu.edu,

More information

Accurate and Efficient Macromodel of Submicron Digital Standard Cells

Accurate and Efficient Macromodel of Submicron Digital Standard Cells Accurate and Efficient Macromodel of Submicron Digital Standard Cells Cristiano Forzan, Bruno Franzini and Carlo Guardiani SGS-THOMSON Microelectronics, via C. Olivetti, 2, 241 Agrate Brianza (MI), ITALY

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

PATTERN-INDEPENDENT CURRENT ESTIMATION FOR RELIABILITY ANALYSIS OF CMOS CIRCUITS. Richard Burch, Farid Najm. Ping Yang, and Dale Hocevar ABSTRACT

PATTERN-INDEPENDENT CURRENT ESTIMATION FOR RELIABILITY ANALYSIS OF CMOS CIRCUITS. Richard Burch, Farid Najm. Ping Yang, and Dale Hocevar ABSTRACT PATTERN-INDEPENDENT CURRENT ESTIMATION FOR RELIABILITY ANALYSIS OF CMOS CIRCUITS by Richard Burch, Farid Najm Ping Yang, and Dale Hocevar ABSTRACT Accurate and ecient expected current estimation is required

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Seyab Khan Said Hamdioui Abstract Bias Temperature Instability (BTI) and parameter variations are threats to reliability

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications

Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications Seongsoo Lee Takayasu Sakurai Center for Collaborative Research and Institute of Industrial Science, University

More information

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits Faculty of Engineering ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits CMOS Technology Complementary MOS, or CMOS, needs both PMOS and NMOS FET devices for their logic gates to be realized

More information

POWER consumption has become a bottleneck in microprocessor

POWER consumption has become a bottleneck in microprocessor 746 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 7, JULY 2007 Variations-Aware Low-Power Design and Block Clustering With Voltage Scaling Navid Azizi, Student Member,

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

ANALYTICAL ESTIMATION OF PROPAGATION DELAY AND SHORT-CIRCUIT POWER DISSIPATION IN CMOS GATES

ANALYTICAL ESTIMATION OF PROPAGATION DELAY AND SHORT-CIRCUIT POWER DISSIPATION IN CMOS GATES INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS Int. J. Circ. ¹heor. Appl., 27, 375}392 (1999) ANALYTICAL ESTIMATION OF PROPAGATION DELAY AND SHORT-CIRCUIT POWER DISSIPATION IN CMOS GATES S. NIKOLAIDIS

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach RESEARCH ARTICLE OPEN ACCESS High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach M.Sahithi Priyanka 1, G.Manikanta 2, K.Bhaskar 3, A.Ganesh 4, V.Swetha 5 1. Student of Lendi

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-30nm CMOS Technologies Bhaskar Chatterjee, Manoj Sachdev Ram Krishnamurthy * Department of Electrical and Computer

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective S. P. Mohanty, R. Velagapudi and E. Kougianos Dept of Computer Science and Engineering University of North Texas

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

Leakage Current Modeling in PD SOI Circuits

Leakage Current Modeling in PD SOI Circuits Leakage Current Modeling in PD SOI Circuits Mini Nanua David Blaauw Chanhee Oh Sun MicroSystems University of Michigan Nascentric Inc. mini.nanua@sun.com blaauw@umich.edu chanhee.oh@nascentric.com Abstract

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Layer Reassignment for Antenna Eect. Minimization in 3-Layer Channel Routing. Zhan Chen and Israel Koren. Abstract

Layer Reassignment for Antenna Eect. Minimization in 3-Layer Channel Routing. Zhan Chen and Israel Koren. Abstract Layer Reassignment for Antenna Eect Minimization in 3-Layer Channel Routing Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003 Abstract

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

Zhan Chen and Israel Koren ABSTRACT. proposed algorithm has been implemented in the framework of the Berkeley logic optimization package SIS.

Zhan Chen and Israel Koren ABSTRACT. proposed algorithm has been implemented in the framework of the Berkeley logic optimization package SIS. Technology Mapping for Hot-Carrier Reliability Enhancement Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003 ABSTRACT As semiconductor

More information

TECHNOLOGY scaling, aided by innovative circuit techniques,

TECHNOLOGY scaling, aided by innovative circuit techniques, 122 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 2, FEBRUARY 2006 Energy Optimization of Pipelined Digital Systems Using Circuit Sizing and Supply Scaling Hoang Q. Dao,

More information

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013 Power Scaling in CMOS Circuits by Dual- Threshold Voltage Technique P.Sreenivasulu, P.khadar khan, Dr. K.Srinivasa Rao, Dr. A.Vinaya babu 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA.

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2017, 4 (5): 319-325 Research Article ISSN: 2394-658X Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE

EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE PBALASUBRAMANIAN Dr RCHINNADURAI MRLAKSHMI NARAYANA Department of Electronics and Communication Engineering

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP 10.4 A Novel Continuous-Time Common-Mode Feedback for Low-oltage Switched-OPAMP M. Ali-Bakhshian Electrical Engineering Dept. Sharif University of Tech. Azadi Ave., Tehran, IRAN alibakhshian@ee.sharif.edu

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Static Energy Reduction Techniques in Microprocessor Caches

Static Energy Reduction Techniques in Microprocessor Caches Static Energy Reduction Techniques in Microprocessor Caches Heather Hanson, Stephen W. Keckler, Doug Burger Computer Architecture and Technology Laboratory Department of Computer Sciences Tech Report TR2001-18

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS Mrs. K. Srilakshmi 1, Mrs. Y. Syamala 2 and A. Suvir Vikram 3 1 Department of Electronics and Communication

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

A Dual-V DD Low Power FPGA Architecture

A Dual-V DD Low Power FPGA Architecture A Dual-V DD Low Power FPGA Architecture A. Gayasen 1, K. Lee 1, N. Vijaykrishnan 1, M. Kandemir 1, M.J. Irwin 1, and T. Tuan 2 1 Dept. of Computer Science and Engineering Pennsylvania State University

More information

A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs

A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs ABSTRACT Sheng-Chih Lin, Navin Srivastava and Kaustav Banerjee Department of Electrical

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3344-3357 School of Engineering, Taylor s University DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE

More information

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic ISSN (e): 2250 3005 Volume, 08 Issue, 9 Sepetember 2018 International Journal of Computational Engineering Research (IJCER) Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

AS very large-scale integration (VLSI) circuits continue to

AS very large-scale integration (VLSI) circuits continue to IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 11, NOVEMBER 2002 2001 A Power-Optimal Repeater Insertion Methodology for Global Interconnects in Nanometer Designs Kaustav Banerjee, Member, IEEE, Amit

More information

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract Layer Assignment for Yield Enhancement Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003, USA Abstract In this paper, two algorithms

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

DESIGNING powerful and versatile computing systems is

DESIGNING powerful and versatile computing systems is 560 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 5, MAY 2007 Variation-Aware Adaptive Voltage Scaling System Mohamed Elgebaly, Member, IEEE, and Manoj Sachdev, Senior

More information

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 Sep 2012 97-108 TJPRC Pvt. Ltd., IMPLEMENTATION OF POWER

More information