Reconfiguration Challenges & Design Techniques in Software Defined Radio

Size: px
Start display at page:

Download "Reconfiguration Challenges & Design Techniques in Software Defined Radio"

Transcription

1 Recfigurati Challenges & Design Techniques in Software Defined Radio M. S. Karpe 1, A. M. Lalge 2, S. U. Bhandari 3 Abstract The term Software Radio was coined by Joseph Mitola III to signal the shift from HW design dominated radio systems to systems where the major part of the functiality is defined in software. It creates a necessity of generic programmable hardware base that would allow software to enable various features. The ccept of Recfigurable computing allows the accelerati of computatial processes by using variable cfiguratis of specialized hardware. The FPGAs are opening a new door in digital processing envirments by providing Recfigurability at different granularity levels. Further, the recfigurati models reduce overheads present in traditial systems. Finally, the combinati of both digital signal processing devices such as DSP/GPPs and FPGAs can take advantage of their respective features. These platforms provide ways to propose architectures for optimized SDR executi platform, which may reduce the SDR device size, power csumpti and cost significantly while maintaining a high degree of design and functi switching flexibility. Keywords Software Defined Radio (SDR), FPGA, Dynamic partial recfigurati, Software Communicati Architecture (SCA). 1. Introducti Software Defined Radio (SDR) is the radio in which some or the entire physical layer functis are Software Defined [1]. The objective of developing SDR technology is to realize plural system standards a single hardware platform that is implemented mainly with high speed programmable devices. In SDR the hardware module is recfigured by the software module, which means that a given hardware platform is cverted into specific system standard or M. S. Karpe, Department of Electrics & telecommunicati, Pimpri Chinchwad College of engineering, Pune, India. A. M. Lalge, Department of Electrics & telecommunicati, Pimpri Chinchwad College of engineering, Pune, India. S. U. Bhandari, Department of Electrics & telecommunicati, Pimpri Chinchwad College of engineering, Pune, India. special communicati system depending up the changes in the software module. [2 As wireless communicati becomes more and more diverse, the need of software radio is getting strger. The reas that wireless devices are so inflexible is that they are generally implemented is Hardware. Csequently, frequent redesign is expensive and incvenient to the end users. So, the recfigurable SDR can be obtained with either a single device capable of delivering various services or with a radio that can communicate with devices providing complementary services. [3]. 2. Background & relevance In the late 1950s Gerald Estrin came up with the ccept of Recfigurable computing which allows the accelerati of computatial processes by using variable cfiguratis of specialized hardware modules in additi to a sequential processing unit. Since 80 s the Field Programmable Gate Array (FPGA) market growing rapidly with varied of applicati in different industries. The FPGA has great advantage of flexibility that comes from its programmable nature as compared to systems with applicati specific integrated circuits (ASICs).The prototyping of e or several applicatis can be de in different ways using a single FPGA as it incorporates memories, DSP in its architecture. Recfigurable computing is e of the techniques that fill the gap between hardware and software; it offers potentially much higher performance than software, with ability to maintain a higher level of flexibility than hardware [5]. This type of computing is based up Field Programmable Gate Arrays (FPGAs). It ctains an array of computatial elements whose functiality is determined through multiple SRAM cfigurati bits. Like software, the mapped circuit is flexible and can be changed over the lifetime of the system or even the lifetime of the applicati. These elements, also known as logic blocks, are cnected using a set of routing resources that are also programmable. [5]. 3. Literature review Recfigurability challenges a number of areas ranging from users, business and regulatory aspects 127

2 to radio resource/spectrum management and system level interactis. The technological challenges are not ly the enabling technologies necessary for the development of recfigurable terminals and base statis but also network and equipment architectures supporting Recfigurati, recfigurati management etc. [4]. Also, Recfigurati through the software download over the air (OTA) is the most ccerned issue to many researchers since its attractive ccept of dynamic recfigurati of SDR terminal can, not ly be user friendly in subscribers point of view but also effective for network providers [3]. The case studies reviewed here, have proposed the different architectures in order to improve various parameters such as size of the software download OTA, memory overhead, recfigurati time. The authors in [6] have proposed the architecture for GSM to EDGE recfigurati using FPGA-DSP platform. The paper proves the feasibility of dynamic partial recfigurati. The FPGA provides network switching by dynamically recfiguring ly from QPSK mapped Nyquist filter with to 8PSK mapped Nyquist filter. The case study presented by authors [7] utilized TV white space which were made unlicensed in November 2008 by FCC. The paper ccentrates DFE (Digital Frt End) module of SDR. The proposed SDR transmitter architecture is based single FPGA and it is ctrolled by GPP. It uses DRP with PR FPGA to support TV standards like 3GPP LTE, 3GPP WCDMA, IEEE e; IEEE n.While DRP with PR offers the functial recfigurati alg with programmable clock. Alg with recfigurati, its management is e of the crucial factors. The authors in [8] proposed global architecture called Flexible Radio Kernel. The architecture has PL (Protocol Layer) and R-HAL (Radio-Hardware Abstracti Layer). Former manages the recfigurati of MAC layer and later manages Platform recfigurati. The authors in [9] focused the structure of recfigurati facilitated by SCA standard. The paper includes simple AM/FM receiver that identify and receives either type of modulati. The modular applicatis of SCA are dynamically installed and uninstalled using CORBA middleware. This article addresses large-scale recfigurati through Domain Management (facilitated by SCA), specifically, waveform applicati management''. In this level of recfigurati, the waveform 128 applicatis being run as SCA applicatis in the domain are dynamically installed and uninstalled based the radio requirements. This approach reduces the hardware requirements of the radio (e.g. memory) but introduces latency. 4. Platform based design techniques The design techniques involve hybrid platforms with different types of recfiguratis required as per applicati. The techniques highlight the advantages of dynamic as well as partial recfigurati. Using FPGA and DSP DSP s and FPGA s provide the flexibility and the computatial power required to realize a Digital Frt End (DFE) of SDR [6]. FPGAs enable to take advantage of parallelism to achieve high performance with moderate power csumpti. Furthermore FPGAs can be recfigured in order to provide multi standard/service terminals. Figure 1: DSP/FPGA Sundance Platform As shown in figure 1 the DSP and FPGA are cnected through two Com- Ports, e dedicated to data transfers and the other dedicated to bit stream transfers i.e. for partial recfigurati. In order to manage partial recfigurati a CPLD is used to implement a cfigurati ctroller between the DSP and the FPGA. In partial dynamic recfigurati, ly from a Nyquist filter with QPSK mapping to Nyquist filter with 8PSK is de. The hardware/software partitiing of the modulati chains (Figure 2) that have been csidered for this study (QPSK to 8PSK) leads to the following decompositi. Software compents, which correspd to source coding simulati, data transfers and cfigurati transfers, are mapped to the DSP. The hardware compents, which are bits-to-symbol coding (mapping),

3 oversampling, filtering and IF transpositi, are implemented in the FPGA. Figure 2: Partial dynamic recfigurati a DSP/FPGA platform The bits-to-symbol coding compent needs to undergo partial dynamic recfigurati while other compents are comm to both modulati chains. The Virtex FPGA supports Colum based partial recfigurati. Table 1 presents the main characteristics of three scenarios. The first e does not implement recfigurati; both chains are implemented in the FPGA. The secd e uses dynamic recfigurati but does not take benefit of partial recfigurati (i.e., ly full recfigurati is performed). Finally, the last e uses dynamic with partial Recfigurati. For each soluti, the DSP memory overhead, the FPGA utilizati and the recfigurati time overhead are highlighted. Table 1: QPSK/8PSK implementati scenarios leads to nearly 50% reducti in the DSP memory overhead. In the case of partial recfigurati the DSP memory overhead is composed of e full bit stream and both partial bit streams (bits-to-symbol coding for the QPSK and the 8PSK modulatis respectively) to be compared with two full bit streams in the case of full recfigurati. Csidering recfigurati time overhead, partial recfigurati leads to 90% reducti of the cfigurati time, which is very important in order to guarantee services ctinuity. Hence for an executi using dynamic partial recfigurati of QPSK and then 8PSK modulatis the benefit is almost 50% in terms of recfigurati time. This benefit increases gradually when each time there is a new switching between both modulati chains. Using FPGA and GPP Partial Recfigurati (PR) is a method for Field Programmable Gate Array (FPGA) designs which allows multiple applicatis to time-share a porti of an FPGA while the rest of the device ctinues to operate unaffected. The paper [7] presents a technique named Dynamic Recfigurable Port (DRP), with PR based a single FPGA in order to dynamically change both functiality and also the clock frequency. Implemented Architecture More recently new wireless opportunities such as TV White Spaces, opens a new area where SDR can be applied effectively. DSP/FPGA modulati chains implementati DSP memory overhead with bit stream FPGA Utilizati Recfig urati time overhea d Without Recfigurati No 3180 Slices No With full Recfigurati With Partial Recfigurati 1600 Kbytes 960 Kbytes 1590 Slices 1590 Slices 130 ms 11 ms Between these solutis there is 50% reducti of the slice utilizati. This is normal in our case since with recfigurati a single chain is implemented at any time the FPGA. The memory overhead in DSP occurring due to bit streams is an important issue for embedded systems. The use of partial recfigurati 129 Figure 3: Implementati of SDR architecture to support three standards FPGA The secdary use of TV spectrum is unlikely to be driven by ly e standard and therefore there exists the opportunity to support multi-standards at (low power) community base statis and use e FPGA hardware platform to potentially support multiple standards from TV White Space standards (IEEE af, IEEE , 3GPP LTE-TDD).

4 Example architecture shown in figure 3 has been developed to support 3GPP LTE, 3GPP WCDMA, IEEE e and IEEE n standards. Two DCMs with DRP are employed: e is used to ctrol and cfigure the mapper RP, and the other is for the DUC RP. The mapper DCM uses the input clock of a 100 MHz crystal oscillator for the generati of three clock frequencies: 200 MHz for 400 MHz for 16-QAM and 600 MHz to serve 64-QAM modules. The data output by the mapper RP can then be fed to the transform RP operating at a clock frequency of 100 MHz for the WCDMA implementati, the spreader modules ctain the OVSF code generator with SFs from 4 to 512. Two simple dual port Block RAMs are used to bridge the clock domain boundary between the modulati processing compents and the DUC RP. The 256 MHz from the clock oscillator is chosen as the input clock for the DUC DCM compent so that by setting different integer multiplier and divisor values, the output frequencies of MHz, MHz and 240 MHz may be derived from this frequency correctly. Table 2: Hardware utilizati in terms of Slices Mapper Standards Utilizati without PR ( Slices ) 3GPP LTE 5 MHz Utilizati with PR ( Slices) switching and design flexibility. It uses fewer clock oscillator inputs are required compared to traditial, fixed functi FPGA design. Therefore, the proposed method could reduce the SDR device size, power csumpti and cost significantly, while maintaining a high degree of design and functi switching flexibility Using flexible radio kernel The aim of a flexible radio device is to offer recfigurable radio operati flexible radio is a very wide ccept. it encompasses any soluti for radio operati that can be modified without changing the physical system. [8] Proposed Global architecture: Figure 4 shows global FRK architecture. The Protocol Layer (PL) acts as a networking standard scheduler in FRK.. It stores all implemented MAC layers, and ctrols activati or inactivati of each MAC layers. The Radio Hardware Abstracti Layer (R-HAL) acts as an inter-face between the operati implementati and the upper layers, managing the recfigurati and the ctrol of the physical layer resources allocati. FRK is based the definiti of targets in the platform. A target is a type of processing units for which the cfigurati method is similar. Each platform is made of a collecti of targets, for which the R-HAL implements a Target Management Element (TaME), designed to manage cfigurati and executi the target. QPSK 3GPPWCDMA IEEE e 3.5 MHz IEEE e 5 MHz IEEE n 20 MHz Modulati OFDM Spreader In the paper all of the designs are implemented the Virtex -5 series LX110T device with Xilinx ISE 12.4 software suite versi. Table 2 gives the hardware resources utilizati of each of the modules without and with PR in terms of slices. There is approximately 20% reducti in slice utilizati using PR. As a result, this PR/DRP architecture could be viewed as providing a high degree of functi 130 Figure 4: FRK global architecture The off-line part is integrated in the R-HAL. It is designed to translate a generic applicati called a waveform into a platform executable called a Cfigurati Instance (CI). The R-HAL is designed to manage recfigurability in a flexible radio

5 platform. It offers to the PL and other possible layers an abstracted interface for applicati management. It manages the instantiati of multiple applicatis and the repartiti the different targets according to the platform capabilities. The aim of R-HAL is to manage the cfigurati of the platform following requests from the PL. Thus, the envirment allows easy integrati of heterogeneous processing units. Using SCA Architecture The software defined radio (SDR) has opened the doors for levels of radio recfigurati not possible through the use of more traditial radio design approaches. While most radios allow variati of parameters such as carrier frequency, an SDR enables large-scale recfigurati (e.g., changing to a different protocol type or MAC). This research explores automated, dynamic large-scale radio recfigurati through the implementati and characterizati of three alternative recfigurable radio designs. The SCA is a widely used SDR standard developed by the US Department of Defense. The paper [9] includes simple AM/FM receiver that identify and receives either type of modulati. The modular applicatis of SCA are dynamically installed and uninstalled using CORBA middleware. Two methods for system recfigurati are csidered. In the first method, the installati of SCA applicatis is variable during runtime. A hybrid method combining these approaches can be csidered, in which the radio anticipates the most immediately useful subset of available SCA applicatis. Design Approach: Reference design accepts a baseband signal that can be either FM or AM using large scale recfigurati. These receivers are capable of determining the modulati type of the signal and demodulating the signal appropriately. Case 1: Modular multi-mode receiver with dynamic Recfigurati (steady state): As shown in Figure 5, specifically the signal classifier compent is able to install/uninstall as well as cnect to/discnect from the AM and FM receiver compents during runtime. With these abilities, the signal classifier is able to manage the state of the AM and FM receiver applicatis so that ly the required receiver is installed at any given time. Figure 5: Modular multi-mode receiver In this method, whenever the cfigurati of the radio changes, any existing SCA applicati that is no lger needed can be uninstalled and discnected, and a new SCA applicati installed in its place. Using this method, ly SCA applicatis that are actively processing data are installed. This approach exhibits minimal Memory usage when ly e SCA applicati is installed at a time. The worstcase latency performance occurs for the extreme case in which the required SCA applicati must be installed and uninstalled every time a packet is sent or received. Case 2: Modular multi-mode receiver with dynamic Recfigurati (during recfigurati): Applicatis csidered likely to be used are kept installed until supplanted by other applicatis as measured using a likelihood-of-use metric. In this extended dynamic approach, waveforms are installed and uninstalled less frequently provided that more than e waveform can be installed at a time and data are routed to the appropriate installed waveform. In this design, ly e receiver SCA applicati is installed at any given time. When the radio switches operating modes, the existing receiver SCA applicati is uninstalled and the new receiver SCA applicati is installed in its place. Signal classificati is accomplished by calculating the variance of the magnitude of the baseband signal. Since the FM signal theoretically has a cstant envelope in the absence of noise and fading, if the variance is low, the signal is determined to be FM. Alternatively, the signal is determined to be AM. 5. Discussi As per discussed case studies, we can summaries that different platforms use different recfigurati techniques enlisted in Table III. The platforms provide recfigurati of modulati chain, applicati switching, and multi service and recfigurati management. 131

6 Sr. No. Published Year Table 3: Discussed Parameters Platform Used FPGA and DSP 2010 SCA 2011 FPGA and GPP FRK Applicati QPSK to 8PSK AM/FM switching 3GPP LTE, IEEE802.16e,,3GPP WCDMA, IEEE802.11n Recfigurati Management 6. Cclusis and Future Work As per the discussi, the platform comprising of FPGA and DSP offer two advantages. As DSP works as hardware accelerator for FPGA, increases the speed of recfigurable functiality. Also, FPGA due its partial recfigurati ability reduces size of software to be downloaded locally or over the Air (OTA) which in turn ctributes to solve the challenge mentied in[2]. In platform csist of FPGA and GPP, the FPGA supports the multiple TV white space standards. As the standards require different frequencies to operate, the authors presented an architecture which uses DRP with PR to recfigure clock alg with functiality. The GPP handles the user requirement and decides which partiti is to be recfigured. The Flexible Radio Kernel provides an envirment for easy recfigurati management. This platform can be employed where there is necessity for management of recfigurati. The SCA architecture facilitates different modular applicati to build single platform and to run it independently. The case study discusses an receiver architecture that receives either AM or FM depending variance using dynamic recfigurati.thus, Dynamic and Partial recfigurati is an interesting soluti to cquer the challenges in SDR recfigurati. References Recfigura ti Used Dynamic partial recfigurati Dynamic recfigurati DRP with Partial recfigurati Level Dependent [1] SDR forum SDRF Cognitive Radio Definitis Working Document SDRF-06-R-0011-V [2] V Jeyalakhmi and K Sankaranarayanan Challenges in Technology and Recfigurati of SDR A survey IETE Technical review, Vol. 25, No.1, Jan Feb 08. [3] Alok Shah Vanu, Inc. An Introducti to Software Radio White paper [4] Klaus Moessnera, Didier Bourseb, Dieter Greifendorfc, Joerg Stammenc Software radio and recfigurati management Computer Communicatis 26 (2003) [5] K. Compt, S. Hauck, Cfigurable Computing A Survey of System and Software, Northwestern University USA Technical Report, [6] J. P. Delahaye, G. Gogniat, C. Roland, P. Bomel software radio and dynamic Recfigurati a dsp/fpga platform IETR/Supelec - Campus de Rennes, Av. de la Boulais, BP [7] Ke He & Louise Crockett & Robert Stewart Dynamic Recfigurati Technologies Based FPGA in Software Defined Radio System J Sign Process Syst DOI /s [8] P.-H. Horrein et al. An envirment for (re)cfigurati and executi management of heterogeneous flexible radio platforms, Microprocess. Microsyst.(2012), [9] Andrew R. Cormier a, Carl B. Dietrich b,, Jeremy Price a, Jeffrey H. Reedb, Dynamic recfigurati of software defined radios using standard architectures, Physical Communicati 3 (2010) Mayuri Karpe received her B.E degree from Pune University in She is currently pursuing ME in VLSI and Embedded systems from P.C.C.O.E Pune University. She is currently working in LSI India Pvt. Ltd as Intern in DFT (Design For Testability) area. Archana Lalge received her B.E. degree from University of Pune in She is currently pursuing M.E in VLSI and Embedded Systems from P.C.C.O.E, Pune University. She has 7 years of teaching experience. Sheetal Bhandari is an assistant professor of electrics and telecommunicati engineering at Pimpri Chinchwad College of Engineering, University of Pune, India. She received her B.E. and M.E. degree from University of Pune in 1998 and 2006 respectively She has completed her PhD in the area of Recfigurable Computing in She is been teaching for about 8 years and has entrepreneurial stint of 4 years. Her academic focus is Microelectrics and VLSI Design. Her research interests include Partial Recfigurati and HW-SW Co-Design. 132

Abstract. Keywords. 1. Introduction. 2. Organization of paper. A.M.Lalge 1, M.S.Karpe 2, S.U.Bhandari 3

Abstract. Keywords. 1. Introduction. 2. Organization of paper. A.M.Lalge 1, M.S.Karpe 2, S.U.Bhandari 3 Software Defined Radio Principles and Platforms A.M.Lalge 1, M.S.Karpe 2, S.U.Bhandari 3 Abstract Software Defined Radio (SDR) is defined as radio in which some or all of the physical layer functions are

More information

Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System

Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System J Sign Process Syst (2012) 69:75 85 DOI 10.1007/s11265-011-0646-2 Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System Ke He & Louise Crockett & Robert Stewart Received:

More information

THE EXTENSIBLE SENSOR PLATFORM

THE EXTENSIBLE SENSOR PLATFORM THE EXTENSIBLE SENSOR PLATFORM David Pointer; Volodymyr Kindratenko; Paul Zawada; Meenal Pant (Natial Center for Supercomputing Applicatis, University of Illinois at Urbana- Champaign, Champaign, IL; email:

More information

Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA

Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA 1 Neenu Joseph, 2 Dr. P Nirmal Kumar 1 Research Scholar, Department of ECE Anna University, Chennai,

More information

A GENERIC ARCHITECTURE FOR SMART MULTI-STANDARD SOFTWARE DEFINED RADIO SYSTEMS

A GENERIC ARCHITECTURE FOR SMART MULTI-STANDARD SOFTWARE DEFINED RADIO SYSTEMS A GENERIC ARCHITECTURE FOR SMART MULTI-STANDARD SOFTWARE DEFINED RADIO SYSTEMS S.A. Bassam, M.M. Ebrahimi, A. Kwan, M. Helaoui, M.P. Aflaki, O. Hammi, M. Fattouche, and F.M. Ghannouchi iradio Laboratory,

More information

Partial Reconfigurable Implementation of IEEE802.11g OFDM

Partial Reconfigurable Implementation of IEEE802.11g OFDM Indian Journal of Science and Technology, Vol 7(4S), 63 70, April 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Partial Reconfigurable Implementation of IEEE802.11g OFDM S. Sivanantham 1*, R.

More information

Optimizing QoS in real time MIMO SDR systems using multi-rate sampling and reconfiguration

Optimizing QoS in real time MIMO SDR systems using multi-rate sampling and reconfiguration Volume 118 No. 24 2018 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ Optimizing QoS in real time MIMO SDR systems using multi-rate sampling and reconfiguration

More information

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM 1 J. H.VARDE, 2 N.B.GOHIL, 3 J.H.SHAH 1 Electronics & Communication Department, Gujarat Technological University, Ahmadabad, India

More information

Reactive Planning of Hidden States in Large State Spaces Through Decomposition and Serialization. Deductive Controller. DS 1 Attitude Control System

Reactive Planning of Hidden States in Large State Spaces Through Decomposition and Serialization. Deductive Controller. DS 1 Attitude Control System HOME Landing Site: ABC Landing Site: XYZ T O W N O E COLLECTION POINT Enroute SCIENCE AREA RENDEZVOUS SCIENCE AREA Diverge SCIENCE AREA Reactive Planning of Hidden States in Large State Spaces Through

More information

Design Techniques for Gate-Leakage Reduction in CMOS Circuits

Design Techniques for Gate-Leakage Reduction in CMOS Circuits Design Techniques for Gate-Leakage Reducti in CMOS Circuits Rafik S. Guindi and Farid N. Najm Department of Electrical and Computer Engineering University of Torto Torto, ON, Canada, M5S 3G4 rguindi@eecg.utorto.ca

More information

ELT Radio Architectures and Signal Processing. Motivation, Some Background & Scope

ELT Radio Architectures and Signal Processing. Motivation, Some Background & Scope Introduction ELT-44007/Intro/1 ELT-44007 Radio Architectures and Signal Processing Motivation, Some Background & Scope Markku Renfors Department of Electronics and Communications Engineering Tampere University

More information

Optimized BPSK and QAM Techniques for OFDM Systems

Optimized BPSK and QAM Techniques for OFDM Systems I J C T A, 9(6), 2016, pp. 2759-2766 International Science Press ISSN: 0974-5572 Optimized BPSK and QAM Techniques for OFDM Systems Manikandan J.* and M. Manikandan** ABSTRACT A modulation is a process

More information

Multi-Channel FIR Filters

Multi-Channel FIR Filters Chapter 7 Multi-Channel FIR Filters This chapter illustrates the use of the advanced Virtex -4 DSP features when implementing a widely used DSP function known as multi-channel FIR filtering. Multi-channel

More information

Optimal Placement Approach of Phasor Measuring Unit by GPS

Optimal Placement Approach of Phasor Measuring Unit by GPS Optimal Placement Approach of Phasor Measuring Unit by GPS B. Phani Ranga Raja 1, K. Naresh 2, A. Balaji 3, M. Rambabu 4 Assistant Professor in Usha Rama College of Engineering and Technology Department

More information

Software Radio: An Enabling Technology for Mobile Communications

Software Radio: An Enabling Technology for Mobile Communications Software Radio: An Enabling Technology for Mobile Communications Carles Vilella, Joan L. Pijoan Dep. Communications and Signal Theory La Salle Engineering and Architecture Ramon Llull University Barcelona,

More information

Publication of Little Lion Scientific R&D, Islamabad PAKISTAN

Publication of Little Lion Scientific R&D, Islamabad PAKISTAN FPGA IMPLEMENTATION OF SCALABLE BANDWIDTH SINGLE CARRIER FREQUENCY DOMAIN MULTIPLE ACCESS TRANSCEIVER FOR THE FOURTH GENERATION WIRELESS COMMUNICATION 1 DHIRENDRA KUMAR TRIPATHI, S. ARULMOZHI NANGAI, 2

More information

Prototyping Next-Generation Communication Systems with Software-Defined Radio

Prototyping Next-Generation Communication Systems with Software-Defined Radio Prototyping Next-Generation Communication Systems with Software-Defined Radio Dr. Brian Wee RF & Communications Systems Engineer 1 Agenda 5G System Challenges Why Do We Need SDR? Software Defined Radio

More information

2 Basic Ladder Logic Programming

2 Basic Ladder Logic Programming 2 asic Ladder Logic Programming Chapter Topics: asic ladder logic symbols Ladder logic diagram Ladder logic evaluati Start/stop logic OJECTIVES Up completi of this chapter, you will be able to: Understand

More information

A review paper on Software Defined Radio

A review paper on Software Defined Radio A review paper on Software Defined Radio 1 Priyanka S. Kamble, 2 Bhalchandra B. Godbole Department of Electronics Engineering K.B.P.College of Engineering, Satara, India. Abstract -In this paper, we summarize

More information

Advances in Wireless Communications: Standard Compliant Models and Software Defined Radio By Daniel Garcίa and Neil MacEwen

Advances in Wireless Communications: Standard Compliant Models and Software Defined Radio By Daniel Garcίa and Neil MacEwen Advances in Wireless Communications: Standard Compliant Models and Software Defined Radio By Daniel Garcίa and Neil MacEwen 2014 The MathWorks, Inc. 1 Advances in Wireless Communications Standard compliant

More information

OQPSK COGNITIVE MODULATOR FULLY FPGA-IMPLEMENTED VIA DYNAMIC PARTIAL RECONFIGURATION AND RAPID PROTOTYPING TOOLS

OQPSK COGNITIVE MODULATOR FULLY FPGA-IMPLEMENTED VIA DYNAMIC PARTIAL RECONFIGURATION AND RAPID PROTOTYPING TOOLS Proceedings of SDR'11-WInnComm-Europe, 22-24 Jun 2011 OQPSK COGNITIVE MODULATOR FULLY FPGA-IMPLEMENTED VIA DYNAMIC PARTIAL RECONFIGURATION AND RAPID PROTOTYPING TOOLS Raúl Torrego (Communications department:

More information

An Improved Method for Secondary Code Synchronization in WCDMA

An Improved Method for Secondary Code Synchronization in WCDMA Internatial Journal of Scientific Research Engineering & Technology (IJSRET) An Improved Method for Secdary Code Synchrizati in WCDMA Mridula S. Korde 1, Abhay S. Gandhi 2 1 (Department of Electrics, Ramdeobaba

More information

Implementation of Multiple Input Multiple Output System Prototype Model in Different Environment

Implementation of Multiple Input Multiple Output System Prototype Model in Different Environment Implementation of Multiple Input Multiple Output System Prototype Model in Different Environment Mrs. Madhavi Belsare 1, Chandrahas Soman 2, Madhur Surve 3, Dr. P. B. Mane 4 Abstract- Demands of next generation

More information

DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS

DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS Prajakta J. Katkar 1, Yogesh S. Angal 2 1 PG student with Department of Electronics and telecommunication,

More information

Iqbal, Atif; Sagar Bhaskar, Mahajan; Meraj, Mohammad; Sanjeevikumar, Padmanaban

Iqbal, Atif; Sagar Bhaskar, Mahajan; Meraj, Mohammad; Sanjeevikumar, Padmanaban Aalborg Universitet DC-Transformer Modelling, Analysis and Comparis of the Experimental Investigati of a N-Inverting and N-Isolated Nx Multilevel Boost Cverter (Nx MBC) for Low to High DC Voltage Applicatis

More information

DTP4700 Next Generation Software Defined Radio Platform

DTP4700 Next Generation Software Defined Radio Platform DTP4700 Next Generation Software Defined Radio Platform Spectra DTP4700 is a wideband, high-performance baseband and RF Software Defined Radio (SDR) development and test platform. Spectra DTP4700 supports

More information

SDR TESTBENCH FOR SATELLITE COMMUNICATIONS

SDR TESTBENCH FOR SATELLITE COMMUNICATIONS SDR TESTBENCH FOR SATELLITE COMMUNICATIONS Kris Huber (Array Systems Computing Inc., Toronto, Ontario, Canada, khuber@array.ca); Weixiong Lin (Array Systems Computing Inc., Toronto, Ontario, Canada). ABSTRACT

More information

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL International Journal Of Scientific Research And Education Volume 2 Issue 7 Pages 1091-1097 July-2014 ISSN (e): 2321-7545 Website:: http://ijsae.in Design and Simulation of Universal Asynchronous Receiver

More information

A Dynamic Reconcile Algorithm for Address Generator in Wimax Deinterleaver

A Dynamic Reconcile Algorithm for Address Generator in Wimax Deinterleaver A Dynamic Reconcile Algorithm for Address Generator in Wimax Deinterleaver Kavya J Mohan 1, Riboy Cheriyan 2 M Tech Scholar, Dept. of Electronics and Communication, SAINTGITS College of Engineering, Kottayam,

More information

High Speed & High Frequency based Digital Up/Down Converter for WCDMA System

High Speed & High Frequency based Digital Up/Down Converter for WCDMA System High Speed & High Frequency based Digital Up/Down Converter for WCDMA System Arun Raj S.R Department of Electronics & Communication Engineering University B.D.T College of Engineering Davangere-Karnataka,

More information

Atmel ATA5781/ATA5782/ATA5783

Atmel ATA5781/ATA5782/ATA5783 Atmel ATA5781/ATA5782/ATA5783 UHF ASK/FSK Receiver SUMMARY DATASHEET Features This is a summary document. The complete document is available under NDA. For more informati, please ctact your local Atmel

More information

1.1 About Digital Design

1.1 About Digital Design Hi, I'm John.... c h a p t e r Introducti Welcome to the world of digital design. Perhaps you re a computer science student who knows all about computer software and programming, but you re still trying

More information

Software-Defined Radio using Xilinx (SoRaX)

Software-Defined Radio using Xilinx (SoRaX) SoRaX-Page 1 Software-Defined Radio using Xilinx (SoRaX) Functional Requirements List and Performance Specifications By: Anton Rodriguez & Mike Mensinger Project Advisors: Dr. In Soo Ahn & Dr. Yufeng Lu

More information

An Introduction to Software Radio

An Introduction to Software Radio An Introduction to Software Radio (and a bit about GNU Radio & the USRP) Eric Blossom eb@comsec.com www.gnu.org/software/gnuradio comsec.com/wiki USENIX / Boston / June 3, 2006 What's Software Radio? It's

More information

A SOFTWARE RE-CONFIGURABLE ARCHITECTURE FOR 3G AND WIRELESS SYSTEMS

A SOFTWARE RE-CONFIGURABLE ARCHITECTURE FOR 3G AND WIRELESS SYSTEMS A SOFTWARE RE-CONFIGURABLE ARCHITECTURE FOR 3G AND WIRELESS SYSTEMS E. Sereni 1, G. Baruffa 1, F. Frescura 1, P. Antognoni 2 1 DIEI - University of Perugia, Perugia, ITALY 2 Digilab2000 - Foligno (PG)

More information

FPGA Realization of Gaussian Pulse Shaped QPSK Modulator

FPGA Realization of Gaussian Pulse Shaped QPSK Modulator FPGA Realization of Gaussian Pulse Shaped QPSK Modulator TANANGI SNEHITHA, Mr. AMAN KUMAR Abstract In past few years, a major transition from analog to digital modulation techniques has occurred and it

More information

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Prof. Mahesh M.Gadag Communication Engineering, S. D. M. College of Engineering & Technology, Dharwad, Karnataka, India Mr.

More information

SDR OFDM Waveform design for a UGV/UAV communication scenario

SDR OFDM Waveform design for a UGV/UAV communication scenario SDR OFDM Waveform design for a UGV/UAV communication scenario SDR 11-WInnComm-Europe Christian Blümm 22nd June 2011 Content Introduction Scenario Hardware Platform Waveform TDMA Designing and Testing Conclusion

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

DESIGN OF A MEASUREMENT PLATFORM FOR COMMUNICATIONS SYSTEMS

DESIGN OF A MEASUREMENT PLATFORM FOR COMMUNICATIONS SYSTEMS DESIGN OF A MEASUREMENT PLATFORM FOR COMMUNICATIONS SYSTEMS P. Th. Savvopoulos. PhD., A. Apostolopoulos 2, L. Dimitrov 3 Department of Electrical and Computer Engineering, University of Patras, 265 Patras,

More information

Spectral Monitoring/ SigInt

Spectral Monitoring/ SigInt RF Test & Measurement Spectral Monitoring/ SigInt Radio Prototyping Horizontal Technologies LabVIEW RIO for RF (FPGA-based processing) PXI Platform (Chassis, controllers, baseband modules) RF hardware

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

System Generator Based Implementation of QAM and Its Variants

System Generator Based Implementation of QAM and Its Variants System Generator Based Implementation of QAM and Its Variants Nilesh Katekar *1, Prof. G. R. Rahate*2 *1 Student of M.E. VLSI & Embedded system, PCCOE Pune, Pune University, India *2 Astt. Prof. in Electronics

More information

Bridge RF Design and Test Applications with NI SDR Platforms

Bridge RF Design and Test Applications with NI SDR Platforms Bridge RF Design and Test Applications with NI SDR Platforms Jason Strydom Application Engineer National Instruments - Midrand The National Instruments Vision To do for test and measurement what the spreadsheet

More information

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.10, September-2013, Pages:984-988 Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator MISS ANGEL

More information

Dynamically Reconfigurable LTE-compliant OFDM Modulator for Downlink Transmission

Dynamically Reconfigurable LTE-compliant OFDM Modulator for Downlink Transmission Dynamically Reconfigurable LTE-compliant OFDM Modulator for Downlink Transmission Mário Lopes Ferreira, Amin Barahimi and João Canas Ferreira INESC TEC and Faculty of Engineering of the University of Porto

More information

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online): 2321-0613 Realization of Variable Digital Filter for Software Defined Radio Channelizers Geeta

More information

Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719, Volume 2, Issue 10 (October 2012), PP 54-58 Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator Thotamsetty

More information

Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers

Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers Journal of Computer Science 7 (12): 1894-1899, 2011 ISSN 1549-3636 2011 Science Publications Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers Muhammad

More information

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Design of Multiplier Less 32 Tap FIR Filter using VHDL International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of Multiplier Less 32 Tap FIR Filter using VHDL Abul Fazal Reyas Sarwar 1, Saifur Rahman 2 1 (ECE, Integral University, India)

More information

DIGITAL PRE-DISTORTION LINEARIZER FOR A REALIZATION OF AUTOMATIC CALIBRATION UNIT

DIGITAL PRE-DISTORTION LINEARIZER FOR A REALIZATION OF AUTOMATIC CALIBRATION UNIT DIGITAL PRE-DISTORTION LINEARIZER FOR A REALIZATION OF AUTOMATIC CALIBRATION UNIT Tien Dzung DOAN, Chih Fung LAM, Kei SAKAGUCHI, Jun-ichi TAKADA, Kiyomichi ARAKI Graduate School of Science and Engineering,

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

BPSK System on Spartan 3E FPGA

BPSK System on Spartan 3E FPGA INTERNATIONAL JOURNAL OF INNOVATIVE TECHNOLOGIES, VOL. 02, ISSUE 02, FEB 2014 ISSN 2321 8665 BPSK System on Spartan 3E FPGA MICHAL JON 1 M.S. California university, Email:santhoshini33@gmail.com. ABSTRACT-

More information

Radiation Pattern Behaviour of Reconfigurable Asymmetry Slotted Ultra Wideband Antenna

Radiation Pattern Behaviour of Reconfigurable Asymmetry Slotted Ultra Wideband Antenna Radiati Pattern Behaviour of Recfigurable Asymmetry Slotted Ultra Wideband Antenna Yusnita Rahayu Faculty of Mechanical Engineering Universiti Malaysia Pahang Kuantan, Pahang 26600, Malaysia Email: vannebula2001@yahoo.com

More information

DDC_DEC. Digital Down Converter with configurable Decimation Filter Rev Block Diagram. Key Design Features. Applications. Generic Parameters

DDC_DEC. Digital Down Converter with configurable Decimation Filter Rev Block Diagram. Key Design Features. Applications. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL Core 16-bit signed input/output samples 1 Digital oscillator with > 100 db SFDR Digital oscillator phase resolution of 2π/2

More information

IJMIE Volume 2, Issue 4 ISSN:

IJMIE Volume 2, Issue 4 ISSN: Reducing PAPR using PTS Technique having standard array in OFDM Deepak Verma* Vijay Kumar Anand* Ashok Kumar* Abstract: Orthogonal frequency division multiplexing is an attractive technique for modern

More information

THE APPROACH OF SELEX COMMUNICATIONS ON SOFTWARE DEFINED RADIO

THE APPROACH OF SELEX COMMUNICATIONS ON SOFTWARE DEFINED RADIO THE APPROACH OF SELEX COMMUNICATIONS ON SOFTWARE DEFINED RADIO Loris Schettino (SELEX Communications, Pomezia (Rome), Italy, loris.schettino@selex-comms.com ); Virgilio Cruciani (SELEX Communications,

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

FPGA implementation of Generalized Frequency Division Multiplexing transmitter using NI LabVIEW and NI PXI platform

FPGA implementation of Generalized Frequency Division Multiplexing transmitter using NI LabVIEW and NI PXI platform FPGA implementation of Generalized Frequency Division Multiplexing transmitter using NI LabVIEW and NI PXI platform Ivan GASPAR, Ainoa NAVARRO, Nicola MICHAILOW, Gerhard FETTWEIS Technische Universität

More information

Keysight Technologies Achieving Fast and Accurate Multi-Channel Power Measurements Over a Wide Dynamic Range for Wireless Manufacturing

Keysight Technologies Achieving Fast and Accurate Multi-Channel Power Measurements Over a Wide Dynamic Range for Wireless Manufacturing Keysight Technologies Achieving Fast and Accurate Multi-Channel Power Measurements Over a Wide Dynamic Range for Wireless Manufacturing Applicati Note 02 Keysight Achieving Fast and Accurate Multi-Channel

More information

NI Technical Symposium ni.com

NI Technical Symposium ni.com NI Technical Symposium 2016 1 Build 5G Systems Today Avichal Kulshrestha 2 How We Consume Data is Changing 3 Where We Are Today Explosion of wireless data and connected devices Last year s mobile data

More information

A novel high frequency current-driven synchronous rectifier applicable to most switching topologies

A novel high frequency current-driven synchronous rectifier applicable to most switching topologies Title A novel high frequency current-driven synchrous rectifier applicable to most switching topologies Author(s) Xie, X; Liu, JCP; Po, FNK; Pg, MH Citati Ieee Transactis On Power Electrics, 2001, v. 16

More information

Area Efficient and Low Power Reconfiurable Fir Filter

Area Efficient and Low Power Reconfiurable Fir Filter 50 Area Efficient and Low Power Reconfiurable Fir Filter A. UMASANKAR N.VASUDEVAN N.Kirubanandasarathy Research scholar St.peter s university, ECE, Chennai- 600054, INDIA Dean (Engineering and Technology),

More information

INTRODUCTION TO SOFTWARE RADIO CONCEPTS

INTRODUCTION TO SOFTWARE RADIO CONCEPTS Chapter 1 INTRODUCTION TO SOFTWARE RADIO CONCEPTS 1.1 The Need for Software Radios With the emergence of new standards and protocols, wireless communications is developing at a furious pace. Rapid adoption

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

Spectrum Detector for Cognitive Radios. Andrew Tolboe

Spectrum Detector for Cognitive Radios. Andrew Tolboe Spectrum Detector for Cognitive Radios Andrew Tolboe Motivation Currently in the United States the entire radio spectrum has already been reserved for various applications by the FCC. Therefore, if someone

More information

Simulation study on Sinusoidal Pulse Width Modulation based on Digital Signal Processing Technique

Simulation study on Sinusoidal Pulse Width Modulation based on Digital Signal Processing Technique Advanced Science and echnology Letter Vol.83 (ISA 015), pp.5-9 http://dx.doi.org/10.1457/atl.015.83.06 Simulati tudy Sinuoidal Pule Width Modulati baed Digital Signal Proceing echnique Changyg Yin 1, Xiaoyu

More information

Location Assisted Proactive Channel in Heterogeneous Cognitive Radio Network

Location Assisted Proactive Channel in Heterogeneous Cognitive Radio Network Locati Assisted Proactive Channel in Heterogeneous Cognitive Radio Network Jasrina Jaffar 1, Sharifah K.S.Yusof 2, Norulhusna Ahmad 2, Jawahir Che Mustapha 1 1 Universiti Kuala Lumpur MIIT, 1016 Jalan

More information

Cognitive Cellular Systems in China Challenges, Solutions and Testbed

Cognitive Cellular Systems in China Challenges, Solutions and Testbed ITU-R SG 1/WP 1B WORKSHOP: SPECTRUM MANAGEMENT ISSUES ON THE USE OF WHITE SPACES BY COGNITIVE RADIO SYSTEMS (Geneva, 20 January 2014) Cognitive Cellular Systems in China Challenges, Solutions and Testbed

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 1, January 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design of Digital

More information

Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA

Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA By Raajit Lall, Abhishek Rao, Sandeep Hari, and Vinay Kumar Spectral measurements for some of the Multiple

More information

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core -bit signed input samples gain seed 32 dithering use_complex Accepts either complex (I/Q) or real input samples Programmable

More information

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO ENVIRONMENTS FOR 4G LTE SYSTEMS Dr. R. Shantha Selva Kumari 1 and M. Aarti Meena 2 1 Department of Electronics and Communication Engineering,

More information

A Business Case for Employing Direct RF Transmission over Optical Fiber In Place of CPRI for 4G and 5G Fronthaul

A Business Case for Employing Direct RF Transmission over Optical Fiber In Place of CPRI for 4G and 5G Fronthaul A Business Case for Employing Direct RF Transmission over Optical Fiber In Place of CPRI for 4G and 5G Fronthaul Presented by APIC Corporation 5800 Uplander Way Culver City, CA 90230 www.apichip.com sales@apichip.com

More information

A New Complexity Reduced Hardware Implementation of 16 QAM Using Software Defined Radio

A New Complexity Reduced Hardware Implementation of 16 QAM Using Software Defined Radio A New Complexity Reduced Hardware Implementation of 16 QAM Using Software Defined Radio K.Bolraja 1, V.Vinod kumar 2, V.JAYARAJ 3 1Nehru Institute of Engineering and Technology, PG scholar, Dept. of ECE

More information

Using a design-to-test capability for LTE MIMO (Part 1 of 2)

Using a design-to-test capability for LTE MIMO (Part 1 of 2) Using a design-to-test capability for LTE MIMO (Part 1 of 2) System-level simulation helps engineers gain valuable insight into the design sensitivities of Long Term Evolution (LTE) Multiple-Input Multiple-Output

More information

Improving MU-MIMO Performance in LTE-(Advanced) by Efficiently Exploiting Feedback Resources and through Dynamic Scheduling

Improving MU-MIMO Performance in LTE-(Advanced) by Efficiently Exploiting Feedback Resources and through Dynamic Scheduling Improving MU-MIMO Performance in LTE-(Advanced) by Efficiently Exploiting Feedback Resources and through Dynamic Scheduling Ankit Bhamri, Florian Kaltenberger, Raymond Knopp, Jyri Hämäläinen Eurecom, France

More information

Implementation of a Block Interleaver Structure for use in Wireless Channels

Implementation of a Block Interleaver Structure for use in Wireless Channels Implementation of a Block Interleaver Structure for use in Wireless Channels BARNALI DAS, MANASH P. SARMA and KANDARPA KUMAR SARMA Gauhati University, Deptt. of Electronics and Communication Engineering,

More information

Using a COTS SDR as a 5G Development Platform

Using a COTS SDR as a 5G Development Platform February 13, 2019 Bob Muro, Pentek Inc. Using a COTS SDR as a 5G Development Platform This article is intended to familiarize radio engineers with the use of a multi-purpose commercial off-the-shelf (COTS)

More information

A Flexible FS-FBMC Receiver for Dynamic Access in the TVWS

A Flexible FS-FBMC Receiver for Dynamic Access in the TVWS A Flexible FS-FBMC Receiver for Dynamic Access in the TVWS Vincent Berg, Jean-Baptiste Doré and Dominique Noguet CEA-LETI, Minatec Grenoble, France e-mail: vincent.berg@cea.fr, jean-baptiste.dore@cea.fr,

More information

A Simulation of Wideband CDMA System on Digital Up/Down Converters

A Simulation of Wideband CDMA System on Digital Up/Down Converters Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com A Simulation of Wideband CDMA System

More information

DEVELOPMENT OF SOFTWARE RADIO PROTOTYPE

DEVELOPMENT OF SOFTWARE RADIO PROTOTYPE DEVELOPMENT OF SOFTWARE RADIO PROTOTYPE Isao TESHIMA; Kenji TAKAHASHI; Yasutaka KIKUCHI; Satoru NAKAMURA; Mitsuyuki GOAMI; Communication Systems Development Group, Hitachi Kokusai Electric Inc., Tokyo,

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 98 Chapter-5 ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 99 CHAPTER-5 Chapter 5: ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION S.No Name of the Sub-Title Page

More information

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA.

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA. Volume 4, Issue 11, November 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Future to

More information

The Application of System Generator in Digital Quadrature Direct Up-Conversion

The Application of System Generator in Digital Quadrature Direct Up-Conversion Communications in Information Science and Management Engineering Apr. 2013, Vol. 3 Iss. 4, PP. 192-19 The Application of System Generator in Digital Quadrature Direct Up-Conversion Zhi Chai 1, Jun Shen

More information

Implementation of Space Time Block Codes for Wimax Applications

Implementation of Space Time Block Codes for Wimax Applications Implementation of Space Time Block Codes for Wimax Applications M Ravi 1, A Madhusudhan 2 1 M.Tech Student, CVSR College of Engineering Department of Electronics and Communication Engineering Hyderabad,

More information

2016 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media,

2016 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, 2016 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising

More information

Design and Implementation of Software Defined Radio Using Xilinx System Generator

Design and Implementation of Software Defined Radio Using Xilinx System Generator International Journal of Scientific and Research Publications, Volume 2, Issue 12, December 2012 1 Design and Implementation of Software Defined Radio Using Xilinx System Generator Rini Supriya.L *, Mr.Senthil

More information

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning?

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? WHAT ARE FIELD PROGRAMMABLE Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? They re none of the above! We re going to take a look at: Field Programmable

More information

3G long-term evolution

3G long-term evolution 3G long-term evolution by Stanislav Nonchev e-mail : stanislav.nonchev@tut.fi 1 2006 Nokia Contents Radio network evolution HSPA concept OFDM adopted in 3.9G Scheduling techniques 2 2006 Nokia 3G long-term

More information

DATA SECURITY USING ADVANCED ENCRYPTION STANDARD (AES) IN RECONFIGURABLE HARDWARE FOR SDR BASED WIRELESS SYSTEMS

DATA SECURITY USING ADVANCED ENCRYPTION STANDARD (AES) IN RECONFIGURABLE HARDWARE FOR SDR BASED WIRELESS SYSTEMS INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) International Journal of Computer Engineering and Technology (IJCET), ISSN 0976-6367(Print), ISSN 0976 6367(Print) ISSN 0976 6375(Online)

More information

Monitoring Station for GNSS and SBAS

Monitoring Station for GNSS and SBAS Monitoring Station for GNSS and SBAS Pavel Kovář, Czech Technical University in Prague Josef Špaček, Czech Technical University in Prague Libor Seidl, Czech Technical University in Prague Pavel Puričer,

More information

Importance of object middleware on a digital signal processor for SCA type architectures - a power/cpu management perspective

Importance of object middleware on a digital signal processor for SCA type architectures - a power/cpu management perspective Importance of object middleware on a digital signal processor for SCA type architectures - a power/cpu management perspective S. Aslam-Mir, M. Robert. J. Reed PrismTech & Virginia Tech September 2004 Agenda!

More information

Bringing Wireless Communications Classes into the Modern Day

Bringing Wireless Communications Classes into the Modern Day 1 Bringing Wireless Communications Classes into the Modern Day Engaging students by using real world hardware. Michel Nassar Academic Field Sales Engineer National Instruments Systems are Everywhere Tesla

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November-2014 1470 Design and implementation of an efficient OFDM communication using fused floating point FFT Pamidi Lakshmi

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 What s Behind 5G Wireless Communications? 서기환과장 2015 The MathWorks, Inc. 2 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile

More information

The Case for Optimum Detection Algorithms in MIMO Wireless Systems. Helmut Bölcskei

The Case for Optimum Detection Algorithms in MIMO Wireless Systems. Helmut Bölcskei The Case for Optimum Detection Algorithms in MIMO Wireless Systems Helmut Bölcskei joint work with A. Burg, C. Studer, and M. Borgmann ETH Zurich Data rates in wireless double every 18 months throughput

More information

Implementation and Complexity Analysis of List Sphere Detector for MIMO-OFDM systems

Implementation and Complexity Analysis of List Sphere Detector for MIMO-OFDM systems Implementation and Complexity Analysis of List Sphere Detector for MIMO-OFDM systems Markus Myllylä University of Oulu, Centre for Wireless Communications markus.myllyla@ee.oulu.fi Outline Introduction

More information