PSIM. July Powersim Inc

Size: px
Start display at page:

Download "PSIM. July Powersim Inc"

Transcription

1 PSIM Tutorial Processor-In-the-Loop Simulation July

2 With PSIM s PIL Module, one can perform processor-in-the-loop (PIL) simulation with the power stage implemented and simulated in PSIM and the control code for the TI DSP running on the actual DSP hardware. This tutorial describes, in step by step, how to set up and perform the PIL simulation. The process involves the following steps: - Preparing the code for PIL simulation; and - Setting up in PSIM - Setting up the hardware To illustrate this process, we use a simple buck converter circuit as an example. This example is located in the sub-folder examples\pil\buck Converterr (F28335) in the PSIM directory. To keep the original example unchanged, we will copy the whole folder to c:\pil\buck Converter (F28335), and use it as the working folder in this tutorial. 1. Preparing the Code for PIL Simulation The schematic of the buck converter is shown below. 0.6m V Vo u 2.5 il 2 Verr V k2 K 1000 k1 K 0.4 z U1 1 z Vm V 10 V ILd_S Z1 ZOH The circuit is a buck converter with average current mode control, switching at 20 khz. The unit delay block U1 represents the one-cycle delay inherent in digital control. The first step in PIL simulation is to prepare the code. The code can be either written manually by users or automatically generated by PSIM using the SimCoder function. In this tutorial, we will use the code generated by PSIM

3 Creating Code The circuit above is modifiedd by adding an A/D converter after the current sensor, replacing the carrier wave and comparator with a PWM generator, and defining the hardware target. For further information on how to use SimCoder, please refer to tutorials Auto Code Generation for F2833x Target.pdf in the tutorials folder. Both the A/D converter and PWM generator are from PSIM s F2833x Target library, and they model the A/D converter and PWM generator functions of the actual F28335 DSP. The modified circuit is shown below, with the A/D converter and PWM generator highlighted in yellow. 0.6m V Vo u Verrr V k1 K 0.4 k2 K 1000 z il V ILd_S Vm V 1-ph PWM Z1 ZOH A B F28335 ADC A0 D0 A1 D1 A2 D2 A3 D3 A4 D4 A5 D5 A6 D6 A7 D7 B0 D8 B1 D9 B2 D10 B3 D11 B4 D12 B5 D13 B6 D14 B7 D15 F28335 PSIM can simulate this circuit and generate code automatically that is ready to run on the DSP hardware. To generate the code, in PSIM, go to Simulate >> Generate Code. A sub-folder buck converter (simcoder) (C code) will be generated that contains the C code and other necessary files for CodeComposerStudioo (CCS). Make a copy of this sub-folder, and rename it to buck converter (PIL) (C code) for the modified code for PIL simulation. Modifying Code for PIL Simulation After the code is available (either written manually or from SPIM), the next step is to identify variables that need to interface with PSIM. The codee will be represented in a PIL block. Signals from the rest of the PSIM circuit to this block will be inputs (such as measured voltages/currents), and signals from this block too PSIM will be outputs (such as PWM modulation waves). Typical input/output variables are: - Inputs: Variables after the A/D converter - Outputs: Variables before the PWM generator, and any other internal variables for display/debuggingg purposes - 3 -

4 For example, in this example, the input variable iss the A/D converter output, and the output variable is the modulation signal before the PWM generator. If we wish to monitor the internal signal Verr, Verrr will be an additional output variable. These variables are often in an interrupt service routine. Note that variables before, not after, the PWM generator are the output variables. The PWM generator outputs are the actual PWM gating signals that appear at the output ports, and they cannot be sent to PSIM. The PWM gatingg signals need to be generated in PSIM. Only two changes need to be made to the code: - All input/output interface variables need to be definedd as global variables. - The codes that assign values to ADC outputs need to be commented out. This is because there is no input at the actual ADC port, and the values must come from PSIM. Below is the original interrupt service routinee code before the change in the file buck_converter simcoder_.c in the sub-folder buck converter (simcoder) (C code) : fgblvm = 0; fgblild_s = 0; fgblverr = 0; interrupt void Task() { fsump1, fb4, fk2, fk1, fsum1, fz1, fti_adc1, fvdc2; PS_EnableIntr(); } fti_adc1 = PS_GetDcAdc(0 0); fvdc2 = 2; fz1 = fti_adc1; fsum1 = fvdc2 fz1; fk1 = fsum1 * 0.4; fk2 = fsum1 * 1000; { static e out_a = 0; fb4 = out_a + 1.0/ /20000 * (fk2); out A = fb4; } fsump1 = fk1 + fb4; PS_SetPwm1RateSH(fSUMP1); fgblvm = fsump1; fgblild_s = fz1; fgblverr = fsum1; PS_ExitPwm1General(); - 4 -

5 From the code, we can identify the ADC output ass fti_adc1, the PWM generator input as fsump1, and the error signal Verr as fsum1, all highlighted in yellow. Use a text editor to open the file buck_converter simcoder_.c in the sub-folder buck converter (simcoder) (C code), and make the changes as discussed above. Below is the code after the change: fti ADC1 = 0, fsum1 = 0, fsump1 = 0; fgblvm = 0; fgblild_s = 0; fgblverr = 0; interrupt void Task() { // fsump1, fb4, fk2, fk1, fsum1, fz1, fti_adc1, fvdc2; fb4, fk2, fk1, fz1, fvdc2; // } PS_EnableIntr(); fti_adc1 = PS_GetDcAdc(0 0); fvdc2 = 2; fz1 = fti_adc1; fsum1 = fvdc2 fz1; fk1 = fsum1 * 0.4; fk2 = fsum1 * 1000; { static e out_a = 0; fb4 = out_a + 1.0/ /20000 * (fk2); out A = fb4; } fsump1 = fk1 + fb4; PS_SetPwm1RateSH(fSUMP1); fgblvm = fsump1; fgblild_s = fz1; fgblverr = fsum1; PS_ExitPwm1General(); The modified lines are highlighted in yellow. The changes are: - The variables fti ADC1, fsum1, and fsump1 are defined as global variables, and their definitions within the interrupt function are removed. - The assignment of the ADC variable fti ADC1 is commented out. Now compile the project of the modifiedd code. In CCS, go to Project >> Import Legacy CCS v3.3 Project, and select buck_converter simcoder_.pjt in the sub-folder buck converter (PIL) (C code). Note that importing the legacy CCS v3.3 project needs to be done only once

6 Once it is converted to CCS v5.5, the project file cann be opened directly even if the source code is modified later. In CCS, go to Project >> Build All to build thee project. This will generate the hardware executable file buck_converter simcoder_.out in the folder buck converter (PIL) (C code) )/RamDebug. Now we can move on to the setup in PSIM. 2. Setting Up in PSIM To prepare the schematic for PIL simulation, modifyy the original circuit in Page 1 by removing the control circuit (except the PWM generator) and replacing it with a PIL block from the PSIM library under Elements >> Control >> PIL Module. The modified schematic file is shown as follows. 0.6m V Vo u 2.5 il V Vm V Verr PIL BLOCK 10 Notice that the unit delay block U1 is not present in this circuit. This is because the one-cycle delay is already included in the PIL block. The definition of the PIL block for this example is shown as below: - 6 -

7 The PIL block parameters are explained as below: Target Config Target Program No. of Inputs No. of Outputs Breakpoint Func. Frequency Delay Gain Data Type Target configuration file.ccxml used in CCS for the specific DSP hardware. The configurationn file must match the hardware connected to the computer for the PIL simulation. In this example, the TI Experimenter Kit is used, and the configuration file F28335.ccxml is provided in the schematic folder. Target hardware executable.out file. In this example, it is buck_converter simcoder_.out. Number of inputs to the PIL block. It is 1 for fti_adc1 in this example. Number of outputs from thee PIL block. It is 2 for fsump1 and fsum1 in this example. Names of the breakpoint functions where breakpoints need to be set for input variables. If there are more than one function, function names are separated by comma with noo space (for example, Task1,Task2 ). Sampling frequency for input variables. In this example, it is 20 khz for fti_adc1. Sampling delay from the beginning of the PWM cycle to the moment when the ADC sampling occurs. It ranges from 0 to 1, where 0 means theree is no delay, and 0.5 means that the sampling occurs at half of the PWM cycle. Note that for variables of the same sampling frequency, sampling delays must be thee same. In this example, it is 0. Gain of the input variables. In this example, it is 1. Type of the variable data. It can be: Default, Float, Integer, IQ1, IQ2, IQ30-7 -

8 When set to Default, the data type will be the same as the default data type in Simulation Controll >> SimCoder. In this example, it is Float. Data Size Size of the variable data. It can be: 1-bit, 8-bit, 16-bit, 32-bitt In this example, it is 32-bit. Variable Name of the input/output variable that interfaces with PSIM. In this example, three variables aree defined: fti_adc1, fsump1, fsum1. Function Function of the input/output t variable that interfaces with PSIM. In this example, all variables are inn one function Task. Note that at the moment, the operation of the PIL simulation with multiple sampling frequencies has not been validated yet. It is recommended that, when there are multiple sampling frequencies, sample all the input variables with the highest sampling frequency, and then down sample the selected variables after ADC. For example, if there are two inputs with one at 10 khz and the other at 1 khz, sample both of them at 10 khz, and then down sample the second input at 1 khz through a 1-kHz zero-order-hold. This completes the PSIM setup for the PIL simulation. 3. Setting Up the Hardware The only hardware setup needed for the PIL simulation is to connect the controller hardware to the computer. In this example, a TI Experimenter Kit is connected to the computer through the USB cable, as shown below. TI Experimenter Kit Once the controller hardware is connected, run simulation in PSIM. If the connection is properly established, you should see the following dialog: - 8 -

9 Do not close this dialog during simulation. You will be able to display waveforms during or at the end of simulation in the same way as you would in a regular PSIM simulation. PSIM s PIL simulation is very easy to use and set up. It providess a powerful tool to validate your controller code without the physical presence off the power stage, and allows you to perform various tests that would be difficult to do onn the actual hardware setup (for example, fault analysis)

TUTORIAL Simulation and Code Generation with TI InstaSPIN Block

TUTORIAL Simulation and Code Generation with TI InstaSPIN Block TUTORIAL Simulation and Code Generation with TI InstaSPIN Block November 2016 1 PSIM supports TI s InstaSPIN FOC sensorless motor control algorithm in simulation and SimCoder auto code generation. With

More information

TUTORIAL Simulation and Code Generation of TI InstaSPIN Using DRV8312 EVM

TUTORIAL Simulation and Code Generation of TI InstaSPIN Using DRV8312 EVM TUTORIAL Simulation and Code Generation of TI InstaSPIN Using DRV8312 EVM January 2017 1 PSIM supports TI s InstaSPIN FOC sensorless motor control algorithm in simulation and SimCoder auto code generation.

More information

SimCoder. User s Guide. Powersim Inc. Chapter : -7

SimCoder. User s Guide. Powersim Inc. Chapter : -7 TM SimCoder User s Guide Powersim Inc. Chapter : -7 SimCoder User s Guide Version 11.1 Release 1 October 2017 Copyright 2008-2017 Powersim Inc. All rights reserved. No part of this manual may be photocopied

More information

SimCoder. User s Guide. Powersim Inc. Chapter : -5

SimCoder. User s Guide. Powersim Inc. Chapter : -5 TM SimCoder User s Guide Powersim Inc. Chapter : -5 SimCoder User s Guide Version 10.0 Release 6 May 2016 Copyright 2008-2016 Powersim Inc. All rights reserved. No part of this manual may be photocopied

More information

TUTORIAL Simulation and Code Generation of TI InstaSPIN Using DRV8312 EVM

TUTORIAL Simulation and Code Generation of TI InstaSPIN Using DRV8312 EVM TUTORIAL Simulation and Code Generation of TI InstaSPIN Using DR8312 EM October 2017 1 Simulation and Code Generation of TI InstaSPIN Using DR8312 EM PSIM supports TI s InstaSPIN-FOC sensorless motor control

More information

TUTORIAL Simulation and Code Generation of TI InstaSPIN Using DRV8305 EVM

TUTORIAL Simulation and Code Generation of TI InstaSPIN Using DRV8305 EVM TUTORIAL Simulation and Code Generation of TI InstaSPIN Using DR8305 EM October 2017 1 Simulation and Code Generation of TI InstaSPIN Using DR8305 EM PSIM supports TI s InstaSPIN-FOC sensorless motor control

More information

EE477 Digital Signal Processing Laboratory Exercise #13

EE477 Digital Signal Processing Laboratory Exercise #13 EE477 Digital Signal Processing Laboratory Exercise #13 Real time FIR filtering Spring 2004 The object of this lab is to implement a C language FIR filter on the SHARC evaluation board. We will filter

More information

Iowa State University Electrical and Computer Engineering. E E 452. Electric Machines and Power Electronic Drives

Iowa State University Electrical and Computer Engineering. E E 452. Electric Machines and Power Electronic Drives Electrical and Computer Engineering E E 452. Electric Machines and Power Electronic Drives Laboratory #5 Buck Converter Embedded Code Generation Summary In this lab, you will design the control application

More information

EE-110 Introduction to Engineering & Laboratory Experience Saeid Rahimi, Ph.D. Labs Introduction to Arduino

EE-110 Introduction to Engineering & Laboratory Experience Saeid Rahimi, Ph.D. Labs Introduction to Arduino EE-110 Introduction to Engineering & Laboratory Experience Saeid Rahimi, Ph.D. Labs 10-11 Introduction to Arduino In this lab we will introduce the idea of using a microcontroller as a tool for controlling

More information

ESE 350 Microcontroller Laboratory Lab 5: Sensor-Actuator Lab

ESE 350 Microcontroller Laboratory Lab 5: Sensor-Actuator Lab ESE 350 Microcontroller Laboratory Lab 5: Sensor-Actuator Lab The purpose of this lab is to learn about sensors and use the ADC module to digitize the sensor signals. You will use the digitized signals

More information

Arduino STEAM Academy Arduino STEM Academy Art without Engineering is dreaming. Engineering without Art is calculating. - Steven K.

Arduino STEAM Academy Arduino STEM Academy Art without Engineering is dreaming. Engineering without Art is calculating. - Steven K. Arduino STEAM Academy Arduino STEM Academy Art without Engineering is dreaming. Engineering without Art is calculating. - Steven K. Roberts Page 1 See Appendix A, for Licensing Attribution information

More information

DSP BASED SYSTEM FOR SYNCHRONOUS GENERATOR EXCITATION CONTROLL

DSP BASED SYSTEM FOR SYNCHRONOUS GENERATOR EXCITATION CONTROLL DSP BASED SYSTEM FOR SYNCHRONOUS GENERATOR EXCITATION CONTROLL N. Bulic *, M. Miletic ** and I.Erceg *** Faculty of electrical engineering and computing Department of Electric Machines, Drives and Automation,

More information

RX23T inverter ref. kit

RX23T inverter ref. kit RX23T inverter ref. kit Deep Dive October 2015 YROTATE-IT-RX23T kit content Page 2 YROTATE-IT-RX23T kit: 3-ph. Brushless Motor Specs Page 3 Motors & driving methods supported Brushless DC Permanent Magnet

More information

PWM Demonstration System Document

PWM Demonstration System Document PWM Demonstration System Document Texas Instruments Table of contents 1 System Overview...2 2 Software structure...3 2.1 Directory structure...3 2.2 Software Flowchart...3 2.3 Software configuration options...4

More information

CHAPTER 7 HARDWARE IMPLEMENTATION

CHAPTER 7 HARDWARE IMPLEMENTATION 168 CHAPTER 7 HARDWARE IMPLEMENTATION 7.1 OVERVIEW In the previous chapters discussed about the design and simulation of Discrete controller for ZVS Buck, Interleaved Boost, Buck-Boost, Double Frequency

More information

SoundCheck 11 Quick Start Guide

SoundCheck 11 Quick Start Guide Software Install Basics Upgrading From an Earlier Version If you are upgrading from an earlier version of SoundCheck 11.1 (or Beta version) you should copy the old installation folder and name it "SoundCheck

More information

Teaching digital control of switch mode power supplies

Teaching digital control of switch mode power supplies Teaching digital control of switch mode power supplies ABSTRACT This paper explains the methodology followed to teach the subject Digital control of power converters. The subject is focused on several

More information

MBC DG GUI MBC INTERFACE

MBC DG GUI MBC INTERFACE MBC DG GUI MBC INTERFACE User Manual Version 2.6 Table des matières Interface - Introduction... 3 Interface - Setup... 3 Minimum Computer Requirements... 3 Software installation... 3 Hardware Setup...

More information

Validation of Frequency- and Time-domain Fidelity of an Ultra-low Latency Hardware-in-the-Loop (HIL) Emulator

Validation of Frequency- and Time-domain Fidelity of an Ultra-low Latency Hardware-in-the-Loop (HIL) Emulator Validation of Frequency- and Time-domain Fidelity of an Ultra-low Latency Hardware-in-the-Loop (HIL) Emulator Elaina Chai, Ivan Celanovic Institute for Soldier Nanotechnologies Massachusetts Institute

More information

CHAPTER 2 DESIGN AND MODELING OF POSITIVE BUCK BOOST CONVERTER WITH CASCADED BUCK BOOST CONVERTER

CHAPTER 2 DESIGN AND MODELING OF POSITIVE BUCK BOOST CONVERTER WITH CASCADED BUCK BOOST CONVERTER 17 CHAPTER 2 DESIGN AND MODELING OF POSITIVE BUCK BOOST CONVERTER WITH CASCADED BUCK BOOST CONVERTER 2.1 GENERAL Designing an efficient DC to DC buck-boost converter is very much important for many real-time

More information

2015 International Future Energy Challenge Topic B: Battery Energy Storage with an Inverter That Mimics Synchronous Generators. Qualification Report

2015 International Future Energy Challenge Topic B: Battery Energy Storage with an Inverter That Mimics Synchronous Generators. Qualification Report 2015 International Future Energy Challenge Topic B: Battery Energy Storage with an Inverter That Mimics Synchronous Generators Qualification Report Team members: Sabahudin Lalic, David Hooper, Nerian Kulla,

More information

Simulation using Tutorial Verilog XL Release Date: 02/12/2005

Simulation using Tutorial Verilog XL Release Date: 02/12/2005 Simulation using Tutorial - 1 - Logic Simulation using Verilog XL: This tutorial includes one way of simulating digital circuits using Verilog XL. Here we have taken an example of two cascaded inverters.

More information

EEC WINTER Instructor: Xiaoguang Leo" Liu. Application Note. Baseband Design. Duyen Tran ID#: Team DMK

EEC WINTER Instructor: Xiaoguang Leo Liu. Application Note. Baseband Design. Duyen Tran ID#: Team DMK EEC 134 --- WINTER 2016 Instructor: Xiaoguang Leo" Liu Application Note Baseband Design Duyen Tran ID#: 999246920 Team DMK 1 This application note provides the process to design the baseband of the radar

More information

DSP Development Board

DSP Development Board User s Manual POWERSIM INC. 1 DSP Development Board User s Manual Version 1.1 February 2018 Copyright 2016 2018 Powersim Inc. All rights reserved. No part of this manual may be photocopied or reproduced

More information

Arduino Microcontroller Processing for Everyone!: Third Edition / Steven F. Barrett

Arduino Microcontroller Processing for Everyone!: Third Edition / Steven F. Barrett Arduino Microcontroller Processing for Everyone!: Third Edition / Steven F. Barrett Anatomy of a Program Programs written for a microcontroller have a fairly repeatable format. Slight variations exist

More information

Mechatronics Laboratory Assignment 3 Introduction to I/O with the F28335 Motor Control Processor

Mechatronics Laboratory Assignment 3 Introduction to I/O with the F28335 Motor Control Processor Mechatronics Laboratory Assignment 3 Introduction to I/O with the F28335 Motor Control Processor Recommended Due Date: By your lab time the week of February 12 th Possible Points: If checked off before

More information

Lab 2: Blinkie Lab. Objectives. Materials. Theory

Lab 2: Blinkie Lab. Objectives. Materials. Theory Lab 2: Blinkie Lab Objectives This lab introduces the Arduino Uno as students will need to use the Arduino to control their final robot. Students will build a basic circuit on their prototyping board and

More information

Experiment 3. Direct Sequence Spread Spectrum. Prelab

Experiment 3. Direct Sequence Spread Spectrum. Prelab Experiment 3 Direct Sequence Spread Spectrum Prelab Introduction One of the important stages in most communication systems is multiplexing of the transmitted information. Multiplexing is necessary since

More information

USB Multifunction Arbitrary Waveform Generator AWG2300. User Guide

USB Multifunction Arbitrary Waveform Generator AWG2300. User Guide USB Multifunction Arbitrary Waveform Generator AWG2300 User Guide Contents Safety information... 3 About this guide... 4 AWG2300 specifications... 5 Chapter 1. Product introduction 1 1. Package contents......

More information

Exploring DSP Performance

Exploring DSP Performance ECE1756, Experiment 02, 2015 Communications Lab, University of Toronto Exploring DSP Performance Bruno Korst, Siu Pak Mok & Vaughn Betz Abstract The performance of two DSP architectures will be probed

More information

Abstraction. Terasic Inc. Line Following Robot with PID

Abstraction. Terasic Inc. Line Following Robot with PID Abstraction This document describes how to use the PIDcontroller to implement the LineFollowingfunction on the Terasic A-Cute Car. Besides the line following function, this demonstration also support IR

More information

Introduction to Modeling of Switched Mode Power Converters Using MATLAB and Simulink

Introduction to Modeling of Switched Mode Power Converters Using MATLAB and Simulink Introduction to Modeling of Switched Mode Power Converters Using MATLAB and Simulink Extensive introductory tutorials for MATLAB and Simulink, including Control Systems Toolbox and Simulink Control Design

More information

CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE

CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE 113 CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE 5.1 INTRODUCTION This chapter describes hardware design and implementation of direct torque controlled induction motor drive with

More information

Copyright 2015 by Stephen A. Zajac & Gregory M. Wierzba. All rights reserved..spring 2015.

Copyright 2015 by Stephen A. Zajac & Gregory M. Wierzba. All rights reserved..spring 2015. Copyright 2015 by Stephen A. Zajac & Gregory M. Wierzba. All rights reserved..spring 2015. Copyright 2015 by Stephen A. Zajac & Gregory M. Wierzba. All rights reserved..spring 2015. Copyright 2015 by Stephen

More information

Portland State University MICROCONTROLLERS

Portland State University MICROCONTROLLERS PH-315 MICROCONTROLLERS INTERRUPTS and ACCURATE TIMING I Portland State University OBJECTIVE We aim at becoming familiar with the concept of interrupt, and, through a specific example, learn how to implement

More information

For this exercise, you will need a partner, an Arduino kit (in the plastic tub), and a laptop with the Arduino programming environment.

For this exercise, you will need a partner, an Arduino kit (in the plastic tub), and a laptop with the Arduino programming environment. Physics 222 Name: Exercise 6: Mr. Blinky This exercise is designed to help you wire a simple circuit based on the Arduino microprocessor, which is a particular brand of microprocessor that also includes

More information

USB4. Encoder Data Acquisition USB Device Page 1 of 8. Description. Features

USB4. Encoder Data Acquisition USB Device Page 1 of 8. Description. Features USB4 Page 1 of 8 The USB4 is a data acquisition device designed to record data from 4 incremental encoders, 8 digital inputs and 4 analog input channels. In addition, the USB4 provides 8 digital outputs

More information

Microcontroller: Timers, ADC

Microcontroller: Timers, ADC Microcontroller: Timers, ADC Amarjeet Singh February 1, 2013 Logistics Please share the JTAG and USB cables for your assignment Lecture tomorrow by Nipun 2 Revision from last class When servicing an interrupt,

More information

PGT313 Digital Communication Technology. Lab 6. Spectrum Analysis of CDMA Signal

PGT313 Digital Communication Technology. Lab 6. Spectrum Analysis of CDMA Signal PGT313 Digital Communication Technology Lab 6 Spectrum Analysis of CDMA Signal Objectives i) To measure the channel power of a CDMA modulated RF signal using an oscilloscope and the VSA software ii) To

More information

Generating DTMF Tones Using Z8 Encore! MCU

Generating DTMF Tones Using Z8 Encore! MCU Application Note Generating DTMF Tones Using Z8 Encore! MCU AN024802-0608 Abstract This Application Note describes how Zilog s Z8 Encore! MCU is used as a Dual-Tone Multi- (DTMF) signal encoder to generate

More information

AN AT89C52 MICROCONTROLLER BASED HIGH RESOLUTION PWM CONTROLLER FOR 3-PHASE VOLTAGE SOURCE INVERTERS

AN AT89C52 MICROCONTROLLER BASED HIGH RESOLUTION PWM CONTROLLER FOR 3-PHASE VOLTAGE SOURCE INVERTERS IIUM Engineering Journal, Vol. 6, No., 5 AN AT89C5 MICROCONTROLLER BASED HIGH RESOLUTION PWM CONTROLLER FOR 3-PHASE VOLTAGE SOURCE INVERTERS K. M. RAHMAN AND S. J. M. IDRUS Department of Mechatronics Engineering

More information

CIC ENGINEERING 345 CENTER STREET EAST PEORIA, IL PH FAX µmpis Control Software

CIC ENGINEERING 345 CENTER STREET EAST PEORIA, IL PH FAX µmpis Control Software µmpis Control Software Overview The µmpis Control software package allows for a user to control the operation of the umpis unit with a PC. The PC and the umpis unit are connected together using a RS232

More information

Exercise 3: Sound volume robot

Exercise 3: Sound volume robot ETH Course 40-048-00L: Electronics for Physicists II (Digital) 1: Setup uc tools, introduction : Solder SMD Arduino Nano board 3: Build application around ATmega38P 4: Design your own PCB schematic 5:

More information

Demonstration. Agenda

Demonstration. Agenda Demonstration Edward Lee 2009 Microchip Technology, Inc. 1 Agenda 1. Buck/Boost Board with Explorer 16 2. AC/DC Reference Design 3. Pure Sinewave Inverter Reference Design 4. Interleaved PFC Reference

More information

Variable Frequency Drive / Inverter (0.4 ~ 280kW)

Variable Frequency Drive / Inverter (0.4 ~ 280kW) Variable Frequency Drive / Inverter (0.4 ~ 280kW) & Standard Features Configuration Comparison Comparison Table Enclosure IP00 IP20 NEMA 1 Rating Single phase 0.4 2.2kW 0.4 1.5kW Three phase 0.4 4kW Constant

More information

MLP Troubleshooting Fault Isolation Checklist for MLP

MLP Troubleshooting Fault Isolation Checklist for MLP 14 Sep 2006 Page 1 of 8 Fault Isolation Checklist for MLP NOTE: Ensure you have the current version of the Monitor Link Program (MLP) installed on your computer before attempting to use this Checklist.

More information

E x p e r i m e n t 2 S i m u l a t i o n a n d R e a l - t i m e I m p l e m e n t a t i o n o f a S w i t c h - m o d e D C C o n v e r t e r

E x p e r i m e n t 2 S i m u l a t i o n a n d R e a l - t i m e I m p l e m e n t a t i o n o f a S w i t c h - m o d e D C C o n v e r t e r E x p e r i m e n t 2 S i m u l a t i o n a n d R e a l - t i m e I m p l e m e n t a t i o n o f a S w i t c h - m o d e D C C o n v e r t e r IT IS PREFERED that students ANSWER THE QUESTION/S BEFORE

More information

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM 3 Chapter 3 IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA 3.1. Introduction This Chapter presents an implementation of area efficient SPWM control through single FPGA using Q-Format. The SPWM

More information

Please note the following input/output voltage requirements for the Solar2TiM board:

Please note the following input/output voltage requirements for the Solar2TiM board: Please note the following input/output voltage requirements for the Solar2TiM board: Startup power (barrel connector) 2volts Input power to each of the 2 inputs: 48 volts Regulated output at each of the

More information

DMCode-MS(BL) MATLAB Library

DMCode-MS(BL) MATLAB Library Technosoft is a Third Party of Texas Instruments supporting the TMS320C28xx and TMS320F24xx DSP controllers of the C2000 family To help you get your project started rapidly, Technosoft offers the DMCode-MS(BL)

More information

The Audio Synthesizer

The Audio Synthesizer The Audio Synthesizer Lab Summary In this laboratory, you will construct an audio synthesizer. The synthesizer generates signals for various tones that you will use for your Simon push buttons and win/lose

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

Pulse-Width-Modulation Motor Speed Control with a PIC (modified from lab text by Alciatore)

Pulse-Width-Modulation Motor Speed Control with a PIC (modified from lab text by Alciatore) Laboratory 14 Pulse-Width-Modulation Motor Speed Control with a PIC (modified from lab text by Alciatore) Required Components: 1x PIC 16F88 18P-DIP microcontroller 3x 0.1 F capacitors 1x 12-button numeric

More information

Resonant Converter Control Loop Design. SmartCtrl Tutorial. 1 Powersimtech Inc.

Resonant Converter Control Loop Design. SmartCtrl Tutorial. 1 Powersimtech Inc. SmartCtrl Tutorial Resonant Converter Control Loop Design 1 Powersimtech Inc. SmartCtrl 1 is a general purpose controller design software specifically for power electronics application. This tutorial is

More information

Training Schedule. Robotic System Design using Arduino Platform

Training Schedule. Robotic System Design using Arduino Platform Training Schedule Robotic System Design using Arduino Platform Session - 1 Embedded System Design Basics : Scope : To introduce Embedded Systems hardware design fundamentals to students. Processor Selection

More information

Microprocessors A Lab 4 Fall Analog to Digital Conversion Using the PIC16F684 Microcontroller

Microprocessors A Lab 4 Fall Analog to Digital Conversion Using the PIC16F684 Microcontroller Objectives Materials 17.383 Microprocessors A Analog to Digital Conversion Using the PIC16F684 Microcontroller 1) To use MPLAB IDE software, PICC Compiler, and external hardware to demonstrate the following:

More information

CPE 100L LOGIC DESIGN I

CPE 100L LOGIC DESIGN I CPE 100L LABORATORY 3: COMBINATIONAL CIRCUIT DESIGN FULL ADDER BY GRZEGORZ CHMAJ DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Develop the ability to write a

More information

Blackfin Online Learning & Development

Blackfin Online Learning & Development Presentation Title: Introduction to VisualDSP++ Tools Presenter Name: Nicole Wright Chapter 1:Introduction 1a:Module Description 1b:CROSSCORE Products Chapter 2: ADSP-BF537 EZ-KIT Lite Configuration 2a:

More information

Agilent N7509A Waveform Generation Toolbox Application Program

Agilent N7509A Waveform Generation Toolbox Application Program Agilent N7509A Waveform Generation Toolbox Application Program User s Guide Second edition, April 2005 Agilent Technologies Notices Agilent Technologies, Inc. 2005 No part of this manual may be reproduced

More information

AS726X NIR/VIS Spectral Sensor Hookup Guide

AS726X NIR/VIS Spectral Sensor Hookup Guide Page 1 of 9 AS726X NIR/VIS Spectral Sensor Hookup Guide Introduction The AS726X Spectral Sensors from AMS brings a field of study to consumers that was previously unavailable, spectroscopy! It s now easier

More information

Sigfox RF & Protocol Test Procedure RSA-SDR-DONGLE for RC3c-UDL-ENC

Sigfox RF & Protocol Test Procedure RSA-SDR-DONGLE for RC3c-UDL-ENC Version 3.8.0 September 14, 2018 Sigfox RF & Protocol Test Procedure RSA-SDR-DONGLE for RC3c-UDL-ENC Public Use Note: Only the last version of this document available on the Sigfox web sites is official

More information

In this lab, you ll build and program a meter that measures voltage, current, power, and energy at DC and AC.

In this lab, you ll build and program a meter that measures voltage, current, power, and energy at DC and AC. EE 155/255 Lab #2 Revision 1, October 5, 2017 Lab2: Energy Meter In this lab, you ll build and program a meter that measures voltage, current, power, and energy at DC and AC. Assigned: October 2, 2017

More information

QUICK SETUP GUIDE: Firewire/mLAN MACINTOSH OSX Cubase AI4 / Studio Manager / Motif XS Editor / Mac OSX

QUICK SETUP GUIDE: Firewire/mLAN MACINTOSH OSX Cubase AI4 / Studio Manager / Motif XS Editor / Mac OSX QUICK SETUP GUIDE: Firewire/mLAN MACINTOSH OSX Cubase AI4 / Studio Manager / Motif XS Editor / Mac OSX Install Install Cubase AI4 on your Mac. Update to the latest version at www.steinberg.net Download

More information

ToupSky Cameras Quick-guide

ToupSky Cameras Quick-guide ToupSky Cameras Quick-guide ToupSky is a capture and processing software offered by Touptek, the original manufacturer of the Toupcamera series. These are video cameras that offer live image capture for

More information

How to make a list sweep measurement

How to make a list sweep measurement How to make a list sweep measurement This material shows how to perform a list sweep measurement through an example of the Photovoltaic Cell IV measurement. Figure 1 illustrates the connection and condition

More information

Understanding the Arduino to LabVIEW Interface

Understanding the Arduino to LabVIEW Interface E-122 Design II Understanding the Arduino to LabVIEW Interface Overview The Arduino microcontroller introduced in Design I will be used as a LabVIEW data acquisition (DAQ) device/controller for Experiments

More information

Multiple Instrument Station Module

Multiple Instrument Station Module Multiple Instrument Station Module Digital Storage Oscilloscope Vertical Channels Sampling rate Bandwidth Coupling Input impedance Vertical sensitivity Vertical resolution Max. input voltage Horizontal

More information

User s Guide. DDS-3005 USB Operation Manual

User s Guide. DDS-3005 USB Operation Manual User s Guide DDS-3005 USB Operation Manual Table of Contents Chapter 1 Introduction...1 1.1 Introduction...1 1.2 Working Principle...1 1.3 Hardware Specification...1 Chapter 2 Installation...3 2.1 System

More information

HAW-Arduino. Sensors and Arduino F. Schubert HAW - Arduino 1

HAW-Arduino. Sensors and Arduino F. Schubert HAW - Arduino 1 HAW-Arduino Sensors and Arduino 14.10.2010 F. Schubert HAW - Arduino 1 Content of the USB-Stick PDF-File of this script Arduino-software Source-codes Helpful links 14.10.2010 HAW - Arduino 2 Report for

More information

Analog-to-Digital Converter. Student's name & ID (1): Partner's name & ID (2): Your Section number & TA's name

Analog-to-Digital Converter. Student's name & ID (1): Partner's name & ID (2): Your Section number & TA's name MPSD A/D Lab Exercise Analog-to-Digital Converter Student's name & ID (1): Partner's name & ID (2): Your Section number & TA's name Notes: You must work on this assignment with your partner. Hand in a

More information

ME 461 Laboratory #3 Analog-to-Digital Conversion

ME 461 Laboratory #3 Analog-to-Digital Conversion ME 461 Laboratory #3 Analog-to-Digital Conversion Goals: 1. Learn how to configure and use the MSP430 s 10-bit SAR ADC. 2. Measure the output voltage of your home-made DAC and compare it to the expected

More information

Enhancing Analog Signal Generation by Digital Channel Using Pulse-Width Modulation

Enhancing Analog Signal Generation by Digital Channel Using Pulse-Width Modulation Enhancing Analog Signal Generation by Digital Channel Using Pulse-Width Modulation Angelo Zucchetti Advantest angelo.zucchetti@advantest.com Introduction Presented in this article is a technique for generating

More information

Cyclone II Filtering Lab

Cyclone II Filtering Lab May 2005, ver. 1.0 Application Note 376 Introduction The Cyclone II filtering lab design provided in the DSP Development Kit, Cyclone II Edition, shows you how to use the Altera DSP Builder for system

More information

AES Cambridge Seminar Series 27 October Audio Signal Processing and Rapid Prototyping with the ARM mbed. Dr Rob Toulson

AES Cambridge Seminar Series 27 October Audio Signal Processing and Rapid Prototyping with the ARM mbed. Dr Rob Toulson AES Cambridge Seminar Series 27 October 2010 Audio Signal Processing and Rapid Prototyping with the ARM mbed Dr Rob Toulson Director of The Sound and Audio Engineering Research Group Anglia Ruskin University,

More information

TECHNICAL DOCUMENT EPC SERVO AMPLIFIER MODULE Part Number L xx EPC. 100 Series (1xx) User Manual

TECHNICAL DOCUMENT EPC SERVO AMPLIFIER MODULE Part Number L xx EPC. 100 Series (1xx) User Manual ELECTRONIC 1 100 Series (1xx) User Manual ELECTRONIC 2 Table of Contents 1 Introduction... 4 2 Basic System Overview... 4 3 General Instructions... 5 3.1 Password Protection... 5 3.2 PC Interface Groupings...

More information

Longwave AM radio receiver

Longwave AM radio receiver ...using LM3S811 microcontroller - abstract DesignStellaris 2006 entry 1. Short description This project is a digital direct conversion receiver for long waves. It proves that a working radio receiver

More information

Stratigraphy Modeling Boreholes and Cross. Become familiar with boreholes and borehole cross sections in GMS

Stratigraphy Modeling Boreholes and Cross. Become familiar with boreholes and borehole cross sections in GMS v. 10.3 GMS 10.3 Tutorial Stratigraphy Modeling Boreholes and Cross Sections Become familiar with boreholes and borehole cross sections in GMS Objectives Learn how to import borehole data, construct a

More information

DC/DC Converter. Conducted Emission. CST COMPUTER SIMULATION TECHNOLOGY

DC/DC Converter. Conducted Emission. CST COMPUTER SIMULATION TECHNOLOGY DC/DC Converter Conducted Emission Introduction 3D Model EDA Layout Simulation Modifications N GOALS MET? Y In modern electronic applications a majority of devices utilizes switched AC/DC or DC/DC converters

More information

R&S RTO-K92 emmc Compliance Test Test Procedures

R&S RTO-K92 emmc Compliance Test Test Procedures R&S RTO-K92 emmc Compliance Test Test Procedures (=Q3Þ2) Test Procedures 1333.0380.02 03 This manual describes the emmc compliance test procedures with the following options: R&S RTO-K92 (1329.6958.02)/(1333.0444.02)

More information

RT8130BGQW Evaluation Board

RT8130BGQW Evaluation Board RT830BGQW V High Efficiency Synchronous Buck PWM Controller Purpose The RT830B is a high efficiency single phase synchronous Buck DC/DC controller with 5V/V supply voltage. This document explains the function

More information

SDR-14 User s Guide Version 1.2 Software Defined Receiver & Spectrum Analyzer

SDR-14 User s Guide Version 1.2 Software Defined Receiver & Spectrum Analyzer SDR-14 User s Guide Version 1.2 Software Defined Receiver & Spectrum Analyzer Software Defined Receiver & Spectrum Analyzer 2004 RFSPACE. All rights reserved. 2 TABLE OF CONTENTS PACKAGE CONTENTS..3 GETTING

More information

crio Resolver Simulation crio RVDT Simulation Manual V3.0

crio Resolver Simulation crio RVDT Simulation Manual V3.0 crio Resolver Simulation crio RVDT Simulation Manual V3.0 Page 1 / 25 Content 1. General... 3 1.1 Revision history... 3 1.2 Abbreviations... 3 1.3 Purpose... 3 1.4 Annexes... 4 1.5 List of tables... 4

More information

STM32 PMSM FOC SDK v3.2. 蒋建国 MCU Application Great China

STM32 PMSM FOC SDK v3.2. 蒋建国 MCU Application Great China STM32 PMSM FOC SDK v3.2 蒋建国 MCU Application Great China Agenda 2 1 st day Morning Overview Key message Basics Feature Performance Hardware support Tools STM32 MC Workbench SDK components Architectural

More information

APDS-9960 RGB and Gesture Sensor Hookup Guide

APDS-9960 RGB and Gesture Sensor Hookup Guide Page 1 of 12 APDS-9960 RGB and Gesture Sensor Hookup Guide Introduction Touchless gestures are the new frontier in the world of human-machine interfaces. By swiping your hand over a sensor, you can control

More information

Dayton Audio is proud to introduce DATS V2, the best tool ever for accurately measuring loudspeaker driver parameters in seconds.

Dayton Audio is proud to introduce DATS V2, the best tool ever for accurately measuring loudspeaker driver parameters in seconds. Dayton Audio is proud to introduce DATS V2, the best tool ever for accurately measuring loudspeaker driver parameters in seconds. DATS V2 is the latest edition of the Dayton Audio Test System. The original

More information

Dayton Audio is proud to introduce DATS V2, the best tool ever for accurately measuring loudspeaker driver parameters in seconds.

Dayton Audio is proud to introduce DATS V2, the best tool ever for accurately measuring loudspeaker driver parameters in seconds. Dayton Audio is proud to introduce DATS V2, the best tool ever for accurately measuring loudspeaker driver parameters in seconds. DATS V2 is the latest edition of the Dayton Audio Test System. The original

More information

MAX11300PMB1 Peripheral Module and Munich (USB2PMB1) Adapter Board Quick Start Guide

MAX11300PMB1 Peripheral Module and Munich (USB2PMB1) Adapter Board Quick Start Guide MAX11300PMB1 Peripheral Module and Munich (USB2PMB1) Adapter Board Quick Start Guide Rev 0; 7/14 For pricing, delivery, and ordering information, please contact Maxim Direct at 1-888-629-4642, or visit

More information

Introduction to the EXPANSION HUB

Introduction to the EXPANSION HUB Introduction to the EXPANSION HUB REV ROBOTICS - EXPANSION HUB revrobotics.com ANOTHER CONTROLLER CHOICE MODERN ROBOTICS REV ROBOTICS The Expansion hub does not replace the Modern Robotics System. It is

More information

Table of Contents TABLE OF CONTENTS...I TABLE OF FIGURES...III C - QUIKLOOK SETUP...22

Table of Contents TABLE OF CONTENTS...I TABLE OF FIGURES...III C - QUIKLOOK SETUP...22 Table of Contents TABLE OF CONTENTS...I TABLE OF FIGURES...III A - DISCUSSION...1 B MAIN SETUP...6 B.1 - Setup Dialog...6 B.2 Description...7 B.3 Controls...9 B-4-48-Channel Multiplexer Configuration...12

More information

VISSIM Vehicle Actuated Programming (VAP) Tutorial

VISSIM Vehicle Actuated Programming (VAP) Tutorial VISSIM Vehicle Actuated Programming (VAP) Tutorial Introduction In previous labs, you learned the basic functions of VISSIM and configurations for realtime Hardware-in-the-Loop Simulation (HILS) using

More information

PCL-836 Multifunction countertimer and digital I/O add-on card for PC/XT/ AT and compatibles

PCL-836 Multifunction countertimer and digital I/O add-on card for PC/XT/ AT and compatibles PCL-836 Multifunction countertimer and digital I/O add-on card for PC/XT/ AT and compatibles Copyright This documentation is copyrighted 1997 by Advantech Co., Ltd. All rights are reserved. Advantech Co.,

More information

Digital Control Double Loop Design. Tutorial April 2016-

Digital Control Double Loop Design. Tutorial April 2016- Tutorial April 2016- How to Contact: info@powersmartcontrol.com This SmartCtrl Tutorial by Carlos III University is licensed under a Creative Commons Attribution 4.0 International License: You are free

More information

Peripheral Link Driver for ADSP In Embedded Control Application

Peripheral Link Driver for ADSP In Embedded Control Application Peripheral Link Driver for ADSP-21992 In Embedded Control Application Hany Ferdinando Jurusan Teknik Elektro Universitas Kristen Petra Siwalankerto 121-131 Surabaya 60236 Phone: +62 31 8494830, fax: +62

More information

Setting up a Multi sine impedance measurement

Setting up a Multi sine impedance measurement Setting up a Multi sine impedance measurement Case study: how do I setup a Multi Sine impedance measurement? 1 Single sine vs Multi sine Traditional electrochemical impedance spectroscopy measurements

More information

BME/ISE 3511 Laboratory One - Laboratory Equipment for Measurement. Introduction to biomedical electronic laboratory instrumentation and measurements.

BME/ISE 3511 Laboratory One - Laboratory Equipment for Measurement. Introduction to biomedical electronic laboratory instrumentation and measurements. BME/ISE 3511 Laboratory One - Laboratory Equipment for Measurement Learning Objectives: Introduction to biomedical electronic laboratory instrumentation and measurements. Supplies and Components: Breadboard

More information

Embedded Hardware Design Lab4

Embedded Hardware Design Lab4 Embedded Hardware Design Lab4 Objective: Controlling the speed of dc motor using light sensor (LDR). In this lab, we would want to control the speed of a DC motor with the help of light sensor. This would

More information

ME 461 Laboratory #5 Characterization and Control of PMDC Motors

ME 461 Laboratory #5 Characterization and Control of PMDC Motors ME 461 Laboratory #5 Characterization and Control of PMDC Motors Goals: 1. Build an op-amp circuit and use it to scale and shift an analog voltage. 2. Calibrate a tachometer and use it to determine motor

More information

Stratigraphy Modeling Boreholes and Cross Sections

Stratigraphy Modeling Boreholes and Cross Sections GMS TUTORIALS Stratigraphy Modeling Boreholes and Cross Sections The Borehole module of GMS can be used to visualize boreholes created from drilling logs. Also three-dimensional cross sections between

More information

Getting Started with the micro:bit

Getting Started with the micro:bit Page 1 of 10 Getting Started with the micro:bit Introduction So you bought this thing called a micro:bit what is it? micro:bit Board DEV-14208 The BBC micro:bit is a pocket-sized computer that lets you

More information

Using CME 2 with AccelNet

Using CME 2 with AccelNet Using CME 2 with AccelNet Software Installation Quick Copy (with Amplifier file) Quick Setup (with motor data) Offline Virtual Amplifier (with no amplifier connected) Screen Guide Page 1 Table of Contents

More information