Electron scattering distribution in InP at 50 kv

Size: px
Start display at page:

Download "Electron scattering distribution in InP at 50 kv"

Transcription

1 Electron scattering distribution in InP at 50 kv D. M. Tennant, G. E. Doran, R. E. Howard, and J. S. Denker AT&TBell Laboratories, Holmdel, New Jersey (Received 27 May 1987; accepted 19 August 1987) High-resolution patterning ofinp is gaining importance for electronic and photonic applications such as distributed feedback lasers and high-speed transistors. Electron-beam lithography is the highest resolution and most flexible technology for these applications. Unfortunately, backscattered electron effects become an imposing limitation when both coarse and fine pattern features are combined or fill factor variations are encountered in a single writing level. These effects are substantially larger in InP than in Si, and it is necessary to better understand the electron scattering consequences to properly correct for proximity exposure. We have used point exposures in polymethylmethacrylate resist to make the first determination of the electron energy distributions on InP at 50 key. These results are more directly useful for proximity corrections than the earlier data from line exposures on InP. We also report the first experimental estimates of the backscatter exposure coefficient 1], which is about twice that observed on Si. Optimum exposure conditions for various pattern requirements are also discussed. I. INTRODUCTION Current interest in lnp and related compound semiconductors for photonic applications has driven minimum feature size in these materials below 0.25 fim. 1,2 The high index of refraction and need for first-order phase sensitive devices has made patterning in these materials demanding for even advanced lithography systems. Direct write electron-beam (ebeam) lithography (EBL) is a flexible high-resolution patterning method for making such devices. Electron scattering effects in both the substrate and resist in conventional EBL systems can make implementation difficult. Because of the high-average atomic number, these effects are expected to be much larger on InP than on Si, Exposures at higher accelerating voltages have been demonstrated to generally improve e-beam lithography results by lowering forward scattering 3 in thick resist layers and diffusing the exposure due to backscattered electrons. 4 The current generation of commercially available EBL systems, however, are typically limited to 50kV. Exposure latitude in direct writing is limited predominantly by proximity exposure from backscattered electrons in cases where the pattern is comprised of both large and fine features, or when large fill factor variations occur in a single writing level. To properly correct for these proximity exposure effects, it is necessary to better understand the electronscattering process. Two parameters often used to characterize the severity of proximity exposure effects in a material are /3, the range of back scattered electrons, and 17 e' the ratio of energy deposited in the resist by the backscattered electrons to that deposited by the incident beam and forward scattered electrons. WhileTJe and,8 are useful parameters for estimating proximity exposure effects, accurate proximity correction for arbitrary patterns requires detailed knowledge of the exposure response due to a point exposure. 5 The exposure distribution used for proximity correction is usually modeled as the sum of two Gaussians 6 : a narrow Gaussian, which represents a finite beamwidth convolved with any low-angle scattering (forward scattering), and a broad Gaussian distribution from back scattered electrons. Although Monte Carlo simulations often show significant departures from these assumptions, 7 the two-gaussian model is adequate for some materials and is usually chosen for convenience because Gaussians convolve into Gaussians. It will be shown that the distribution of energy deposited in resist on InP is not represented wen by a simple sum ofgaussians and the full point response function must be used to compensate exposures properly. Previous measurements of exposure distributions in InP were made using line exposures. 8 Since the backscatter distribution at large distances was found to be Gaussian, fj could be obtained directly. The point response function over the important distance scale of 0.1 to 1.0 fim is more complex and is not easily obtained by deconvolving the results of a line exposure. A more general approach is to measure point exposure distributions under the desired exposure conditions. 5 The point exposure method accounts for all beam spreading effects and yields values for /3 and 17 e in a straightforward manner. Once the point exposure distribution function is determined, the exposure dose E(r) at any location can be determined by convolving the pattern information DCr) with the point distribution function! (r) and integrating, i.e., E(r) =N f D(rj)!(r-r,)dA, (1) where N is a conversion factor relating electron dose to energy deposited in the resist material. To demonstrate the severity of the proximity effect in InP, a series of test patterns were exposed in polymethylmethacrylate (PMMA). The pattern chosen was a large square, 13.5 fim on a side, containing three zones which can be seen most prominently in Fig. 1 (f). There is a small inner square region surrounded by an unexposed square ring, which, in turn, is surrounded by the large outer square region. The unexposed ring has a linewidth of 0.25 fim and an inside dimension of 1 fim. An AuPd film 200 A thick was evaporated at normal incidence and lifted off. Figure 1 Ca) shows the 426 J. Vac. Sci. Techno!. B 6 (1), Jan/Feb American Vacuum Society '1....

2 427 Tennant et 81.: Electron scattering distribution in InP at 50 kv 427 FIG. I ,um square c<jntaining an unexposed square ring (linewidth 0.25,urn and inside dimension I,um) written at doses of (a) 180; (b) 198; (e) 219; (d) 243; (e) 267; (f) 297, and (g) 330 pc/em2, in InP at 50kV. lowest dose 180 ftc/cm 2 This dose was insufficient to anow either proper liftoff of the large outer square or clearing of the resist adjacent to the unexposed ring. In Fig. 1 (b), the inner square area has cleared and lifted off due to the increased dose in that region. The residual resist has been reduced enough to produce a clean liftoff in the inner area, but the outer square has not lifted off out to the edges because the dose is still too low. As the dose is increased, a greater portion of the square achieves liftoff. The highest dose exposure shown in Fig. 1 (g) is the minimum necessary to get the corners to lift off cleanly. At this dose, however, note that the proximity exposure has caused the resist in the central square ring to partially develop. This results in edge slopes of the developed resist which are no longer vertical enough to allow liftoff in that region. This is a qualitative indication that the exposure from the backscattered electrons is substantial. To quantify these effects in InP at 50 kv both dots and finite-sized patterns were exposed. The dot results are used to determine the point exposure distribution, then fit to a functional form from which the scattering parameters are deduced. II. SAMPLE PREPARATION AND EXPOSURE All exposures were made on a substrate of (100) InP, semi-insulating (Fe doped), using a high contrast resist, PMMA. The prewashed PMMA (molecular weight ),9 dissolved in chlorobenzene, was spun to a thickness of 1100 A, and baked at 160 C for 4 h. Thin layers were used to minimize forward scattering in the resist. Similar prewashed PMMA, baked well above the glass transition temperature, has been measured to have contrast as high as This is sufficiently high to remove the uncertainties due to developer effects. All patterns were written at 50 kv using a JEOL JBX-5D II e-beam lithography system, with nominal beam diameter of 10 to 20 nm. After developing in 3:7 ceuosolve to methanol, a 200 A film of AuPd was thermally evaporated and lifted off. The pattern remaining represented the area exposed by the primary beam and all backscattering processes. The samples were then analyzed by scanning electron microscope (SEM). Calibration of SEM magnification was done using feature spacings which were written using a laser interferometer calibrated stage. These results were also compared with a National Bureau of Standards magnification standard for verification. m. DISCUSSION AND RESULTS A. Clearing dose for inp at 50 kv To gain some practical information about exposures in InP, a series ofisolated square patterns, with squares ranging in size from 0.25 to 16 f-lm on a side, were exposed in dose increments of 10% from 250 to 700 pc/em. 2 The individual squares were then examined to determine the minimum dose necessary for clean liftoff. The results are plotted in Fig. 2. At very small figure sizes, where proximity effect exposure is negligible, there is a maximum dose to clear of about 550 }ic/cm2. As the feature size increases beyond half-micron, the "self-induced" proximity exposure due to finite backscatter contribution from other sections of the square be- ~ SOC '" 0 lj 300 AuPd liftoff InP 50 kv Feature Size FIG. 2. Minimum dose needed for clean liftoff of square patterns of varying size. J. Vac. Sci. Technol. e, Vol. 6, No.1, Jan/Feb 1988

3 428 Tennant et sl.: Electron scattering distribution in InP at 50 kv comes important. This results in a lower incident dose necessary for clearing. This contribution increases as the feature size approaches the backscatter range parameter. The trend continues until the square is about 8 pm on a side or larger. At this size scale the proximity exposure at the center of the square is a maximum and it no longer continues to increase as the size of the square gets larger. Although a detailed measurement of the backscatter range will follow, this simple plot can be used to estimate a value for f3 by taking onehalf of the side dimension (;:::; radius) at which the dose first reaches a minimum; for these data, the backscatter range is ~4pm. o o " 10 -p , Overlay: lines and dots InP 50 kv... DolO dots... DolO lines 428 B. Point exposure distribution To determine the functional form of the point exposure distribution, a large number of dots were exposed over a rangeofdosesfromd mid to times D mill, where D min is the minimum dose needed for liftoff. The increasing width of the AuPd dots reveals the actual exposure distribution due to the point input. Figure 3 shows micrographs of a set of typical dots with increasing dose and radii. Previous workers using measurements from line exposures obtained with a larger beam diameter 8 (;:::; 0.1 pm) have modeled the distribution funciion as two Gaussians plus an exponential. The exponential was added to explain the departure of the experimental distribution from the two Gaussian model. Since the line distribution function is a convolution of point functions, the point distribution would be expected to have a different form. The point function is needed to calculate exposure corrections to more complex shapes. To verify that the dot exposure does not give the same 1O.5'+-_~_-. ---_,.--..;:::::!l...._--_-_i o Range (UM) FIG. 4. Comparison of the exposure distribution from line and dot exposures in InP at 50 kv. (Both curves are normalized to minimum dose needed for liftoff. ) functional form as the line exposures, lines were exposed at the same input doses as the dots. Figure 4 compares the data from the line and dot exposures. Both sets of data were normalized in this plot to the minimum dose needed for liftoff. The semilog plot comparison confirms that the lines exhibit a different functional form. The point distribution data are replotted in Fig. 5. Since there is no a priori functional form to be expected from theory, a convenient fit for interpolating the data was sought. A number of fitting functions were tested to find a form that would best describe the data in all ranges. The fit to the sum of two Gaussians plus an exponential was found to be seriously deficient, especially in the intermediate range. A broader search for a good phenomenological fit to the data in this intermediate range was made. The function (C/r)e- (rlr)l!2 seems a suitable fit. The singularity atx = 0 is integrable and disappears when convolved with the pattern distribution. The width parameter for this intennediate function is about 0.08 pm. This value seems to better repre- InP 60 kv ~ Q A::-;- LOll (l' ='" 8.0e-3 B ~ 1.84e-6 fj...,., 3.13 C - 1.7ge~4 '"1 = ' DO Hange (pm) FIG. 3. Some typical liftoff dots, written at doses of (a) 0.05; (b) 0.15; (c) 0.21, and (d) O. 33 nc inlnp at 50 kv. The data used to determine the point distribution function are the radii of the dot exposures. FIG. 5. Normalized point exposure distribution data (dots), with the "best" fit (solid line). (Data are normalized to cause the intercept of the fit to equal I.) J. Vac. Sci. Technol. e, Vol. 6, No.1, Jan/Feb 1988

4 429 Tennant et al.: Electron scattering distribution in II'!P at 50 kv 429 sent the physical length scale for the broadening process observed in the data rather than the I-f m value previously reported for InP and GaAs at 50 ky. 5,8 The values of the parameters for this best fit are tabulated in Fig. 5. Further, the examination of the data for the smallest r values reveals that this region is fit more closely by an exponential with width parameter of about 8 nm, than by the usual Gaussian. Since this involves a convolution of the spot shape, electron backscattering, and resist resolution (::::; 10 nm) there is no particular reason to expect a Gaussian form. The backscatter portion of the data, however, is well fit to a Gaussian with a width of 3.7 JLm and is in good agreement with both GaAs at 50 ky,5 and the value obtained for line exposures on InP at 50 ky.8 This also agrees with Monte Carlo calculations for PMMA on Cu. 7 It therefore appears that the average atomic number <Z) is importam, even though Coulomb scattering is nonlinear in Z. Co Determination of 1'Ie Even though the data do not confonn to the two-gaussian model 17 e the ratio of the energy deposited in the resist by long-range backscatter to that deposited by the primary beam and the short-range processes is still a useful parameter for estimating the exposure latitude. A value for 17" can be calculated by taking the ratio of the integrated exposure due to the forward process (Aj) to that due to the backscattered electrons (A bs )' If the total integral is normalized to one, then Abs = (1 - Af) and 17. = AbJAj = (l-af)ia f (2) In order to obtain the integrated dose from the distribution, the domain of the data was expanded by extrapolation from the Gaussian fit to the backscatter region. Since a leastsquares fit of the data to the Gaussian form was very good in this region, it was judged that using the fit to expand the data from 6 up to 10 J-lm generated no significant error in the integrated dose. A cubic spline fit to the expanded data was then integrated, i.e., A tot = S r 21Trf(r) dr. A plot of the integrated data as a function of r is shown in Fig. 6, normalizing the area to one. Contributions to the ~ I-'~- ~ ~~ -c- ~-".-,- ~ INTEGRATED DOSE I"P 60 kv ~il // 1l. 0 // E' // //'.s ~ r/::"~~~ ~'-'- ~--- --~il n.~ g ~ ~ ~ ~"_"~~ _~ "_"'_""~ ~ A~f_1 o :'> B.O FIG. 6. Normalized integrated exposure plotted as a function of radial distance (upper plot), and with the backscattcred Gaussian subtracted away (lower plot). integrated dose at values of r beyond 10;.tm were judged negligible. Next the fitted broad backscatter Gaussian was subtracted from the spline fit to the data, integrated. and plotted as the lower curve in Fig. 6. It represents the integrated exposure from all sources except the diffuse backscatter exposure. For these data the value of 17, obtained from the ratio in Eq. (2) is It is also noted from this figure that the intermediate or so~ called "tail" region accounts for about 10% of the total area under the point distribution function. The consequences of this tail portion of the distribu tion would be most observable when very high-density, sub-o.2-,um linewidth patterns are needed. The resulting behavior would mimic a somewhat higher value of 17 e Using an analysis similar to Eq. (2) above, 17. can also be obtained from the analytical form fit to the data in Fig. 6, i.e., 17e = J Be -,.o1f:!' da IT [A e ria + (C Ir)e - y (rl )'12]dA. (3) Applying the parameters obtained from the analytical form fit to Eg. (3), the value obtained for 11 e by this method is 1.4. To independently confirm these analytically obtained values for 1Jc the resist was used to measure the integrated exposure under actual writing conditions. First, a pattern consisting of large squares (20 f m on a side), each with a small square ring missing near the center, was exposed over a wide range of doses. (This is similar to the pattern mentioned in the Introduction. ) Then the corresponding negative pattern, consisting of only the isolated square rings of the same dimension, was also exposed over a wide dose range. The dimensions of these figures were chosen such that the net area of the square ring would be small compared with 1T/3 2 S0 that the self-induced proximity effect would be slight. Likewise, the amount of missing area in the large square would be insignificant, so that the exposure in that region would be solely due to proximity exposure. Linewidth dimensions of 0.25 ;.tm were chosen for the square ring so that the beam diameter was small compared to this width. This was necessary to avoid having overlapping primary beam diameters encroaching from two sides of the ring as the dose was increased. A comparison of the doses at which each of these complimentary patterns clear, allows an estimate for 17. Figure 7(A) shows the small ring exposure at varying doses. It was judged that the resist had completely cleared at 600,uC/cm2. In Fig. 7(B), scattering from the large square exposure causes complete development of the unexposed square ring. The complete elimination of the central resist region was judged to occur between Figs. 7 (A d) and 7 (A e), corresponding to a dose of 475 /-lei cm 2 The ratio of these two values approximates 17e and is found to be equal to 1.26, A small correction can be made for the finite area missing in the integrated dose from the large square. The observed dose at which dearing occurs is slightly higher than for a vanishing small geometry. Similarly, for the small iso~ lated square rings, the finite size actually contributes some proximity effects, thus the value observed is lower than ideal. Estimates for these effects yield a corrected value for 17" of 1.34 (± 7%). J. Vac. Sci. Techno!. e, Vol. 6, No.1, Jan/Feb 1988

5 430 Tennant et 81.: Electron scattering distribution in InP at 50 kv 430 (A) (8) FIG. 7. (Al Isolated small square rings at doses of (al 400; (b) 450; (el 500; (d) 550; (e) 600, and (f) 650 pc/em'. (Bl Showing at the same magnification the central unexposed square ring inside the 20-pm squares at doses of (al 350; (b) 375; (cl 400; (d) 450, and (e) 500 pc/em' in InP at 50 kv. IV. CONCLUSION The InP point exposure distribution at 50 kv has been shown to deviate from the two-gaussian plus exponential fit when a fine beam is used and dot exposures are made. Alternative functions have been suggested which phenomenologically better represent the data. The parameter 'Yfe has been obtained by several different methods and was found to be - 1.4: The latitude in ring exposures yields a value of about 1.3; the integrated spline fit to the dot data gives a value of 1.56; and the integration of the analytical values from functional fit gives a value of 1.4. The width parameter for backscattered electrons, (3, in InP at 50 kv is about 3.7!-lm, in good agreement with values reported for both GaAs at 50 k V and line exposures in InP at 50 kv. The full point response function contains more information than 'Yf and must be used to fully understand proximity effects in arbitrary dense patterns. Detailed examination of the data suggests that the J. Vac. Sci. Technol. 8, Vol. 6, No.1, Jan/Feb 1988

6 431 Tennant et al.: Electron scattering distribution in InP at 50 kv 431 beam shape convolved with the exposure process in PMMA is better represented by an exponential than by a Gaussian for beam diameters near 10 nm. The distribution also reveals an intermediate region, perhaps due to a tail in the electron beam or a less understood exposure process. Although the physical origin of this broadening process is not known, it appears to have a characteristic length scale of about 0.08 Itm. The total integrated exposure from this portion of the distribution is estimated at about 10% of the total exposure dose. ACKNOWLEDGMENTS We wish to acknowledge useful discussions with S. Mackie and S. Rishton. lr. C. Alferness, G. H. Joyner, M. D. Devino, M. J. R. Mart yak, and L. L. Buhl, Appl. Phys. Lett. 49, 125 (1986). 2B, I. Miller, U. Koren, Y. K. Su, and R. J. Capik, App!. Phys. Lett. (to be published). 3G. A. C. Jones, S. Blythe, and H. Ahmed, J. Vac. Sci. Techno!. B 5,120 (1987). 4R. E. Howard, H. G. Craighead, L. D. Jackel, P. M. Mankiewich, and M. Feldman, J. Vac. Sci. Techno!. B 1,1101 (1983). 5S. A. Rishton and D. P. Kern, J. Vac. Sci. Techno!. B 5,135 (1987). "T. H. P. Chang, J. Vac. Sci. Technol. 12, 1271 (1975). 7M. Parikh and D. F. Kyser, J. AppJ. Phys. 50, 1104 (1979). 'e. Dix, P. G. Flavin, P. Hendy, and M. E. Jones, J. Vac. Sci. Techno!. B 3, 131 (1985). 9K TI Chemicals Inc., Sunnyvale, CA. l<'h. W. Deckman and J. H. Dunsmuir, J. Vac. Sci. TechnoL B (1983). J. Vac. Sci. Technol. B, Vol. 6, No.1, Jan/Feb 1988

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools I. Yu. Kuzmin, C. A. Mack* Soft Services, Djalila 5-2-507,Moscow 115580, Russia *FNLE Division ofkla-tencor,

More information

SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION

SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION Michal URBANEK a, Vladimir KOLARIK a, Milan MATEJKA a, Frantisek MATEJKA a, Jan BOK a, Petr MIKSIK b, Jan VASINA b a) ISI ASCR,v.v.i., Kralovopolska

More information

Waveguiding in PMMA photonic crystals

Waveguiding in PMMA photonic crystals ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 12, Number 3, 2009, 308 316 Waveguiding in PMMA photonic crystals Daniela DRAGOMAN 1, Adrian DINESCU 2, Raluca MÜLLER2, Cristian KUSKO 2, Alex.

More information

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Yukinori Ochiai, Takashi Ogura, Mitsuru Narihiro, and Kohichi Arai Silicon Systems Research Laboratories,

More information

Demo Pattern and Performance Test

Demo Pattern and Performance Test Raith GmbH Hauert 18 Technologiepark D-44227 Dortmund Phone: +49(0)231/97 50 00-0 Fax: +49(0)231/97 50 00-5 Email: postmaster@raith.de Internet: www.raith.com Demo Pattern and Performance Test For Raith

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements Homework #3 is due today No class Monday, Feb 26 Pre-record

More information

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Andrea Kroner We present 85 nm wavelength top-emitting vertical-cavity surface-emitting lasers (VCSELs) with integrated photoresist

More information

One of the key issues in implementing the transition from photolithography to projection e-beam

One of the key issues in implementing the transition from photolithography to projection e-beam Mark topography for alignment and registration in projection electron lithography Reginald C. Farrow, Masis Mkrtchyan, Kevin Bolen, Myrtle Blakey, Chris Biddick, *Ljnus Fetter, Harold Huggins, Regine Tarascon,

More information

Zone-plate-array lithography using synchrotron radiation

Zone-plate-array lithography using synchrotron radiation Zone-plate-array lithography using synchrotron radiation A. Pépin, a) D. Decanini, and Y. Chen Laboratoire de Microstructures et de Microélectronique (L2M), CNRS, 196 avenue Henri-Ravéra, 92225 Bagneux,

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Characterization of field stitching in electron-beam lithography using moiré metrology

Characterization of field stitching in electron-beam lithography using moiré metrology Characterization of field stitching in electron-beam lithography using moiré metrology T. E. Murphy, a) Mark K. Mondol, and Henry I. Smith Massachusetts Institute of Technology, 60 Vassar Street, Cambridge,

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

Basics and applications in nanolithography. E-beam lithography. David López-Romero CRESTEC-ISOM JACA CRESTEC Corp.

Basics and applications in nanolithography. E-beam lithography. David López-Romero CRESTEC-ISOM JACA CRESTEC Corp. Basics and applications in nanolithography E-beam lithography David López-Romero CRESTEC-ISOM JACA 2018 CRESTEC Corp. OUTLINE Presentation. E-beam lithography system basics. E-beam lithography technic

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Bias errors in PIV: the pixel locking effect revisited.

Bias errors in PIV: the pixel locking effect revisited. Bias errors in PIV: the pixel locking effect revisited. E.F.J. Overmars 1, N.G.W. Warncke, C. Poelma and J. Westerweel 1: Laboratory for Aero & Hydrodynamics, University of Technology, Delft, The Netherlands,

More information

Supporting Information 1. Experimental

Supporting Information 1. Experimental Supporting Information 1. Experimental The position markers were fabricated by electron-beam lithography. To improve the nanoparticle distribution when depositing aqueous Ag nanoparticles onto the window,

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Introduction of New Products

Introduction of New Products Field Emission Electron Microscope JEM-3100F For evaluation of materials in the fields of nanoscience and nanomaterials science, TEM is required to provide resolution and analytical capabilities that can

More information

Horizontal single and multiple slot waveguides: optical transmission at λ = 1550 nm

Horizontal single and multiple slot waveguides: optical transmission at λ = 1550 nm Horizontal single and multiple slot waveguides: optical transmission at λ = 1550 nm Rong Sun 1 *, Po Dong 2 *, Ning-ning Feng 1, Ching-yin Hong 1, Jurgen Michel 1, Michal Lipson 2, Lionel Kimerling 1 1Department

More information

Experimental measurement of photoresist modulation curves

Experimental measurement of photoresist modulation curves Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb

More information

GaAs polytype quantum dots

GaAs polytype quantum dots GaAs polytype quantum dots Vilgailė Dagytė, Andreas Jönsson and Andrea Troian December 17, 2014 1 Introduction An issue that has haunted nanowire growth since it s infancy is the difficulty of growing

More information

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM Stanislav KRÁTKÝ a, Vladimír KOLAŘÍK a, Milan MATĚJKA a, Michal URBÁNEK a, Miroslav HORÁČEK a, Jana

More information

Sub-10 nm structures written in ultra-thin HSQ resist layers, using Electron Beam Lithography

Sub-10 nm structures written in ultra-thin HSQ resist layers, using Electron Beam Lithography Sub-10 nm structures written in ultra-thin HSQ resist layers, using Electron Beam Lithography Anda E.Grigorescu a, Marco C. van der Krogt b, Cees W. Hagen a a Delft University of Technology, Charged Particle

More information

Supporting Information: Determination of n-type doping level in single GaAs. nanowires by cathodoluminescence

Supporting Information: Determination of n-type doping level in single GaAs. nanowires by cathodoluminescence Supporting Information: Determination of n-type doping level in single GaAs nanowires by cathodoluminescence Hung-Ling Chen 1, Chalermchai Himwas 1, Andrea Scaccabarozzi 1,2, Pierre Rale 1, Fabrice Oehler

More information

WFC3 TV3 Testing: IR Channel Nonlinearity Correction

WFC3 TV3 Testing: IR Channel Nonlinearity Correction Instrument Science Report WFC3 2008-39 WFC3 TV3 Testing: IR Channel Nonlinearity Correction B. Hilbert 2 June 2009 ABSTRACT Using data taken during WFC3's Thermal Vacuum 3 (TV3) testing campaign, we have

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography

Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography CeNSE restricted NNFC-TN 2017/001 Technical Note CENSE-NNFC-2017/001 Issued: 03/2017 Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography Sreedhar Babu, Anita CeNSE, NNFC, Indian

More information

Exposure schedule for multiplexing holograms in photopolymer films

Exposure schedule for multiplexing holograms in photopolymer films Exposure schedule for multiplexing holograms in photopolymer films Allen Pu, MEMBER SPIE Kevin Curtis,* MEMBER SPIE Demetri Psaltis, MEMBER SPIE California Institute of Technology 136-93 Caltech Pasadena,

More information

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith 3. Spatial-Phase-Locked Electron-Beam Lithography Sponsors: No external sponsor Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry

More information

HIGH-EFFICIENCY MQW ELECTROABSORPTION MODULATORS

HIGH-EFFICIENCY MQW ELECTROABSORPTION MODULATORS HIGH-EFFICIENCY MQW ELECTROABSORPTION MODULATORS J. Piprek, Y.-J. Chiu, S.-Z. Zhang (1), J. E. Bowers, C. Prott (2), and H. Hillmer (2) University of California, ECE Department, Santa Barbara, CA 93106

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

(Refer Slide Time: 00:10)

(Refer Slide Time: 00:10) Fundamentals of optical and scanning electron microscopy Dr. S. Sankaran Department of Metallurgical and Materials Engineering Indian Institute of Technology, Madras Module 03 Unit-6 Instrumental details

More information

0.1 flm x-ray mask replication

0.1 flm x-ray mask replication 0.1 flm x-ray mask replication M. Gentili, R. Kumar,a) l. Luciani, and l. Grella Istituto di Elettronica della Stato Solido-CNR, Via Cineto Romano 42, Roma, Italy D. Plumb and Q. Leonard Center for X-ray

More information

K1200 Stripper Foil Mechanism RF Shielding

K1200 Stripper Foil Mechanism RF Shielding R.F. Note #121 Sept. 21, 2000 John Vincent Shelly Alfredson John Bonofiglio John Brandon Dan Pedtke Guenter Stork K1200 Stripper Foil Mechanism RF Shielding INTRODUCTION... 2 MEASUREMENT TECHNIQUES AND

More information

SIMULATION OF NEURAL NETWORKS BY OPTICAL-PHOTOGR4PHIC METHODS. K. R. Shoulders

SIMULATION OF NEURAL NETWORKS BY OPTICAL-PHOTOGR4PHIC METHODS. K. R. Shoulders DIVISION OF ENGINEERING RESEARCH December 10, 1959 SIMULATION OF NEURAL NETWORKS BY OPTICAL-PHOTOGR4PHIC METHODS K. R. Shoulders A method of using photographic film and pin-hole optical wiring is proposed

More information

Long-distance propagation of short-wavelength spin waves. Liu et al.

Long-distance propagation of short-wavelength spin waves. Liu et al. Long-distance propagation of short-wavelength spin waves Liu et al. Supplementary Note 1. Characterization of the YIG thin film Supplementary fig. 1 shows the characterization of the 20-nm-thick YIG film

More information

Absolute distance interferometer in LaserTracer geometry

Absolute distance interferometer in LaserTracer geometry Absolute distance interferometer in LaserTracer geometry Corresponding author: Karl Meiners-Hagen Abstract 1. Introduction 1 In this paper, a combination of variable synthetic and two-wavelength interferometry

More information

Paul R. Bolton and Cecile Limborg-Deprey, Stanford Linear Accelerator Center, MS-18, 2575 Sandhill Road, Menlo Park, California

Paul R. Bolton and Cecile Limborg-Deprey, Stanford Linear Accelerator Center, MS-18, 2575 Sandhill Road, Menlo Park, California LCLS-TN-07-4 June 0, 2007 IR Bandwidth and Crystal Thickness Effects on THG Efficiency and Temporal Shaping of Quasi-rectangular UV pulses: Part II Incident IR Intensity Ripple * I. Introduction: Paul

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Picosecond Ultrasonic Microscopy of Semiconductor Nanostructures Thomas J GRIMSLEY

More information

Fiber-Optic Polarizer Using Resonant Tunneling through a Multilayer Overlay

Fiber-Optic Polarizer Using Resonant Tunneling through a Multilayer Overlay Fiber-Optic Polarizer Using Resonant Tunneling through a Multilayer Overlay Arun Kumar, Rajeev Jindal, and R. K. Varshney Department of Physics, Indian Institute of Technology, New Delhi 110 016 India

More information

Ion Beam Lithography: faster writing strategies for features between 150nm and 1um

Ion Beam Lithography: faster writing strategies for features between 150nm and 1um Ion Beam Lithography: faster writing strategies for features between 150nm and 1um Brent P. Gila, Andes Trucco, David Hays Located in sunny Gainesville, FL (100 miles north of Disney World) https://nrf.aux.eng.ufl.edu/

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

DESIGN AND OPERATION OF A WIDE RANGE SEGMENTED GAMMA RAY SCANNING ASSAY INSTRUMENT FOR THE MEASUREMENT OF BOTH LOW AND INTERMEDIATE LEVEL WASTE

DESIGN AND OPERATION OF A WIDE RANGE SEGMENTED GAMMA RAY SCANNING ASSAY INSTRUMENT FOR THE MEASUREMENT OF BOTH LOW AND INTERMEDIATE LEVEL WASTE 11-A-424-INMM DESIGN AND OPERATION OF A WIDE RANGE SEGMENTED GAMMA RAY SCANNING ASSAY INSTRUMENT FOR THE MEASUREMENT OF BOTH LOW AND INTERMEDIATE LEVEL WASTE John A. Mason, Marc R. Looman, Robert A. Price

More information

Chapter 3 Broadside Twin Elements 3.1 Introduction

Chapter 3 Broadside Twin Elements 3.1 Introduction Chapter 3 Broadside Twin Elements 3. Introduction The focus of this chapter is on the use of planar, electrically thick grounded substrates for printed antennas. A serious problem with these substrates

More information

Supplementary information for Stretchable photonic crystal cavity with

Supplementary information for Stretchable photonic crystal cavity with Supplementary information for Stretchable photonic crystal cavity with wide frequency tunability Chun L. Yu, 1,, Hyunwoo Kim, 1, Nathalie de Leon, 1,2 Ian W. Frank, 3 Jacob T. Robinson, 1,! Murray McCutcheon,

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

Optical Proximity Effects, part 2

Optical Proximity Effects, part 2 T h e L i t h o g r a p h y E x p e r t (Summer 1996) Optical Proximity Effects, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of the Lithography Expert, we examined one type

More information

Supplementary information for

Supplementary information for Supplementary information for A fast and low power microelectromechanical system based nonvolatile memory device Sang Wook Lee, Seung Joo Park, Eleanor E. B. Campbell & Yung Woo Park The supplementary

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Structural, optical, and electrical properties of phasecontrolled cesium lead iodide nanowires

Structural, optical, and electrical properties of phasecontrolled cesium lead iodide nanowires Electronic Supplementary Material Structural, optical, and electrical properties of phasecontrolled cesium lead iodide nanowires Minliang Lai 1, Qiao Kong 1, Connor G. Bischak 1, Yi Yu 1,2, Letian Dou

More information

Experimental quantification of bulk sampling volume of ECH 2 O soil moisture sensors

Experimental quantification of bulk sampling volume of ECH 2 O soil moisture sensors Hydrology Days 29 Experimental quantification of bulk sampling volume of ECH 2 O soil moisture sensors Anuchit Limsuwat 1, Toshihiro Sakaki 1, Tissa H. Illangasekare 1 Center for Experimental Study of

More information

NOVEL CHIP GEOMETRIES FOR THz SCHOTTKY DIODES

NOVEL CHIP GEOMETRIES FOR THz SCHOTTKY DIODES Page 404 NOVEL CHIP GEOMETRIES FOR THz SCHOTTKY DIODES W. M. Kelly, Farran Technology Ltd., Cork, Ireland S. Mackenzie and P. Maaskant, National Microelectronics Research Centre, University College, Cork,

More information

Near-field optical photomask repair with a femtosecond laser

Near-field optical photomask repair with a femtosecond laser Journal of Microscopy, Vol. 194, Pt 2/3, May/June 1999, pp. 537 541. Received 6 December 1998; accepted 9 February 1999 Near-field optical photomask repair with a femtosecond laser K. LIEBERMAN, Y. SHANI,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Electrically pumped continuous-wave III V quantum dot lasers on silicon Siming Chen 1 *, Wei Li 2, Jiang Wu 1, Qi Jiang 1, Mingchu Tang 1, Samuel Shutts 3, Stella N. Elliott 3, Angela Sobiesierski 3, Alwyn

More information

Quantized patterning using nanoimprinted blanks

Quantized patterning using nanoimprinted blanks IOP PUBLISHING Nanotechnology 20 (2009) 155303 (7pp) Quantized patterning using nanoimprinted blanks NANOTECHNOLOGY doi:10.1088/0957-4484/20/15/155303 Stephen Y Chou 1, Wen-Di Li and Xiaogan Liang NanoStructure

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers. Copyright 998 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 8 th Annual BACUS Symposium on Photomask Technology and Management SPIE Vol.

More information

3-7 Nano-Gate Transistor World s Fastest InP-HEMT

3-7 Nano-Gate Transistor World s Fastest InP-HEMT 3-7 Nano-Gate Transistor World s Fastest InP-HEMT SHINOHARA Keisuke and MATSUI Toshiaki InP-based InGaAs/InAlAs high electron mobility transistors (HEMTs) which can operate in the sub-millimeter-wave frequency

More information

Doppler-Free Spetroscopy of Rubidium

Doppler-Free Spetroscopy of Rubidium Doppler-Free Spetroscopy of Rubidium Pranjal Vachaspati, Sabrina Pasterski MIT Department of Physics (Dated: April 17, 2013) We present a technique for spectroscopy of rubidium that eliminates doppler

More information

Doppler writing and linewidth control for scanning beam interference lithography

Doppler writing and linewidth control for scanning beam interference lithography Doppler writing and linewidth control for scanning beam interference lithography Juan C. Montoya, a Chih-Hao Chang, Ralf K. Heilmann, and Mark L. Schattenburg Space Nanotechnology Laboratory, Massachusetts

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes.

Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes. Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes. S- symmetric, AS antisymmetric. b) Calculated linear scattering spectra of individual

More information

Radiographic sensitivity improved by optimized high resolution X -ray detector design.

Radiographic sensitivity improved by optimized high resolution X -ray detector design. DIR 2007 - International Symposium on Digital industrial Radiology and Computed Tomography, June 25-27, 2007, Lyon, France Radiographic sensitivity improved by optimized high resolution X -ray detector

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

Module 4B7: VLSI Design, Technology, and CAD. Scanning Electron Microscopical Examination of CMOS Integrated Circuit

Module 4B7: VLSI Design, Technology, and CAD. Scanning Electron Microscopical Examination of CMOS Integrated Circuit Engineering Tripos Part IIB FOURTH YEAR Module 4B7: VLSI Design, Technology, and CAD Laboratory Experiment Dr D Holburn and Mr B Breton Scanning Electron Microscopical Examination of CMOS Integrated Circuit

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Design and Application of a Quadrupole Detector for Low-Voltage Scanning Electron Mcroscopy

Design and Application of a Quadrupole Detector for Low-Voltage Scanning Electron Mcroscopy SCANNING Vol. 8, 294-299 (1986) 0 FACM. Inc. Received: August 29, 1986 Original Paper Design and Application of a Quadrupole Detector for Low-Voltage Scanning Electron Mcroscopy R. Schmid and M. Brunner"

More information

Confocal Imaging Through Scattering Media with a Volume Holographic Filter

Confocal Imaging Through Scattering Media with a Volume Holographic Filter Confocal Imaging Through Scattering Media with a Volume Holographic Filter Michal Balberg +, George Barbastathis*, Sergio Fantini % and David J. Brady University of Illinois at Urbana-Champaign, Urbana,

More information

Diamond X-ray Rocking Curve and Topograph Measurements at CHESS

Diamond X-ray Rocking Curve and Topograph Measurements at CHESS Diamond X-ray Rocking Curve and Topograph Measurements at CHESS G. Yang 1, R.T. Jones 2, F. Klein 3 1 Department of Physics and Astronomy, University of Glasgow, Glasgow, UK G12 8QQ. 2 University of Connecticut

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

Single-photon excitation of morphology dependent resonance

Single-photon excitation of morphology dependent resonance Single-photon excitation of morphology dependent resonance 3.1 Introduction The examination of morphology dependent resonance (MDR) has been of considerable importance to many fields in optical science.

More information

R. J. Jones Optical Sciences OPTI 511L Fall 2017

R. J. Jones Optical Sciences OPTI 511L Fall 2017 R. J. Jones Optical Sciences OPTI 511L Fall 2017 Semiconductor Lasers (2 weeks) Semiconductor (diode) lasers are by far the most widely used lasers today. Their small size and properties of the light output

More information

Invited Paper. recording. Yuri N. Denisyuk, Nina M. Ganzherli and Irma A. Maurer

Invited Paper. recording. Yuri N. Denisyuk, Nina M. Ganzherli and Irma A. Maurer Invited Paper Thick-layered light-sensitive dichromated gelatin for 3D hologram recording Yuri N. Denisyuk, Nina M. Ganzherli and Irma A. Maurer loffe Physico-Technical Institute of the Academy of Sciences

More information

MINIATURE X-RAY SOURCES AND THE EFFECTS OF SPOT SIZE ON SYSTEM PERFORMANCE

MINIATURE X-RAY SOURCES AND THE EFFECTS OF SPOT SIZE ON SYSTEM PERFORMANCE 228 MINIATURE X-RAY SOURCES AND THE EFFECTS OF SPOT SIZE ON SYSTEM PERFORMANCE D. CARUSO, M. DINSMORE TWX LLC, CONCORD, MA 01742 S. CORNABY MOXTEK, OREM, UT 84057 ABSTRACT Miniature x-ray sources present

More information

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Lecture 21. Wind Lidar (3) Direct Detection Doppler Lidar

Lecture 21. Wind Lidar (3) Direct Detection Doppler Lidar Lecture 21. Wind Lidar (3) Direct Detection Doppler Lidar Overview of Direct Detection Doppler Lidar (DDL) Resonance fluorescence DDL Fringe imaging DDL Scanning FPI DDL FPI edge-filter DDL Absorption

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information

Highly efficient SERS nanowire/ag composites

Highly efficient SERS nanowire/ag composites Highly efficient SERS nanowire/ag composites S.M. Prokes, O.J. Glembocki and R.W. Rendell Electronics Science and Technology Division Introduction: Optically based sensing provides advantages over electronic

More information

Fabrication of a submicron patterned using an electrospun single fiber as mask. Author(s)Ishii, Yuya; Sakai, Heisuke; Murata,

Fabrication of a submicron patterned using an electrospun single fiber as mask. Author(s)Ishii, Yuya; Sakai, Heisuke; Murata, JAIST Reposi https://dspace.j Title Fabrication of a submicron patterned using an electrospun single fiber as mask Author(s)Ishii, Yuya; Sakai, Heisuke; Murata, Citation Thin Solid Films, 518(2): 647-650

More information

MManual. Ugra Plate Control Wedge 1982

MManual. Ugra Plate Control Wedge 1982 Schweizer Kompetenzzentrum für Medien und Druckereitechnologie Centre de compétence suisse pour la technologie des médias et de l imprimerie Swiss Center of Competence for Media and Printing Technology

More information

ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER. Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA

ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER. Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA Institute of Scientific Instruments of the ASCR, v. v.i., Královopolská

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Room-temperature continuous-wave electrically injected InGaN-based laser directly grown on Si Authors: Yi Sun 1,2, Kun Zhou 1, Qian Sun 1 *, Jianping Liu 1, Meixin Feng 1, Zengcheng Li 1, Yu Zhou 1, Liqun

More information

M. Senoner 1), Th. Wirth 1), W. E. S. Unger 1), M. Escher 2), N. Weber 2), D. Funnemann 3) and B. Krömker 3) INTRODUCTION

M. Senoner 1), Th. Wirth 1), W. E. S. Unger 1), M. Escher 2), N. Weber 2), D. Funnemann 3) and B. Krömker 3) INTRODUCTION Testing of Lateral Resolution in the Nanometre Range Using the BAM-L002 - Certified Reference Material: Application to ToF-SIMS IV and NanoESCA Instruments M. Senoner 1), Th. Wirth 1), W. E. S. Unger 1),

More information

On-chip interrogation of a silicon-on-insulator microring resonator based ethanol vapor sensor with an arrayed waveguide grating (AWG) spectrometer

On-chip interrogation of a silicon-on-insulator microring resonator based ethanol vapor sensor with an arrayed waveguide grating (AWG) spectrometer On-chip interrogation of a silicon-on-insulator microring resonator based ethanol vapor sensor with an arrayed waveguide grating (AWG) spectrometer Nebiyu A. Yebo* a, Wim Bogaerts, Zeger Hens b,roel Baets

More information

Lithographic Process Evaluation by CD-SEM

Lithographic Process Evaluation by CD-SEM Lithographic Process Evaluation by CD-SEM Jason L. Burkholder Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract-- In lithography employed in IC fabrication, focus

More information

Microtools Shaped by Focused Ion Beam Milling and the Fabrication of Cylindrical Coils

Microtools Shaped by Focused Ion Beam Milling and the Fabrication of Cylindrical Coils Microtools Shaped by Focused Ion Beam Milling and the Fabrication of Cylindrical Coils M.J. Vasile, D.P. Adams #, and Y.N. Picard* Sandia National Laboratories P.O. Box 5800, MS 0959 Albuquerque, NM, 87185

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Dopant profiling and surface analysis of silicon nanowires using capacitance-voltage measurements Erik C. Garnett 1, Yu-Chih Tseng 4, Devesh Khanal 2,3, Junqiao Wu 2,3, Jeffrey

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Iulian Codreanu and Glenn D. Boreman We report on the influence of the dielectric substrate

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information