From ArF Immersion to EUV Lithography

Similar documents
Update on 193nm immersion exposure tool

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Scaling of Semiconductor Integrated Circuits and EUV Lithography

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Nikon F2 Exposure Tool

Mask Technology Development in Extreme-Ultraviolet Lithography

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Critical issue of non-topcoat resist for ultra low k 1 lithography

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

EUVL getting ready for volume introduction

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Immersion Lithography Micro-Objectives

Advanced Patterning Techniques for 22nm HP and beyond

Scope and Limit of Lithography to the End of Moore s Law

Lithography on the Edge

(Complementary E-Beam Lithography)

Progress in full field EUV lithography program at IMEC

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

R&D Status and Key Technical and Implementation Challenges for EUV HVM

EUVL Activities in China

Purpose: Explain the top advanced issues and concepts in

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

EUV Substrate and Blank Inspection

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

EUV Light Source The Path to HVM Scalability in Practice

State-of-the-art device fabrication techniques

Mask magnification at the 45-nm node and beyond

Optical Microlithography XXVIII

Litho Metrology. Program

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Beyond Immersion Patterning Enablers for the Next Decade

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Major Fabrication Steps in MOS Process Flow

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

Optical Maskless Lithography - OML

Imaging for the next decade

Business Unit Electronic Materials

Status and challenges of EUV Lithography

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Chapter 6. Photolithography

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Nikon EUVL Development Progress Update

Photolithography Technology and Application

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Newer process technology (since 1999) includes :

DSA and 193 immersion lithography

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

2009 International Workshop on EUV Lithography

Device Fabrication: Photolithography

EUV Supporting Moore s Law

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Optical Projection Printing and Modeling

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Nanotechnology I+II 2006 / 07

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Approaching the NA of Water: Immersion Lithography at 193nm

Photolithography II ( Part 2 )

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Introducing 157nm Full Field Lithography

Optics for EUV Lithography

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions.

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Evaluation of Technology Options by Lithography Simulation

Intel Technology Journal

MICROCHIP MANUFACTURING by S. Wolf

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Lecture 8. Microlithography

Part 5-1: Lithography

EUV Lithography Transition from Research to Commercialization

Transcription:

From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC

Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions

Lithography is enabling 1000 ITRS Technology Trends MPU Gate Length Gate Length (nm) 100 10 2-year Node Cycle 3-year Node Cycle 1 1995 2000 2005 2010 2015 2020 Year Source: 2003 ITRS - Exec. Summary

Rayleigh equation Wave length scaling Lord Rayleigh resolution = k1. λ NA 193nm 157nm

157nm Challenges CaF 2 lens material Catadioptric lens design Fluorinated resist materials Mask technology Modified SiO 2 Surface contamination Pellicle: none / soft / hard transmission radiation hardness reticle heating Purging N 2 fill

Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Status, Challenges, Outlook Conclusions

Rayleigh equation Lord Rayleigh resolution = k1. λ NA NA scaling

Immersion Lithography Improvements in resolution Snell s law : NA = η = 0 sin θ 0 = η f sin θ f η r sin θ r η glass n glass η 0 η f liquid η r

Immersion Lithography Improvements in resolution Snell s law : NA = η = 0 sin θ 0 = η f sin θ f η r sin θ r η glass n glass η 0 dry η f liquid η r

Immersion Litho Extremely short introduction time

Immersion demonstration in record time March 2002: Key note presentation @ SPIE Santa Clara Burn Lin (TSMC): First suggestion to consider immersion lithography 2002 2003 2004 Mirror Fluid Inlet Vacuum Pump Fluid Replenishing Hole Last Lens Element Tank Cover Wafer Fluid Thermal Control Filter Fluid Outlet Mirror Drain

Immersion demonstration in record time March 2002: Key note presentation @ SPIE Santa Clara Burn Lin (TSMC): First suggestion to consider immersion lithography 2002 2003 2004 October / November 2003: ASML and IMEC demonstrate feasibility on 0.75NA immersion prototype scanner First immersion scanning image on Oct 7, 2003 DOF @ 8% EL 1200 1000 800 600 400 200 0 180 270 160 270 200 320 160 270 160 270 0.92-0.72 + Att PSM 0.89-0.65 + Att PSM 0.89-0.65 + BIN 0.89-0.65 + BIN DRY WET 0.89-0.45 + BIN > 50% DOF gain demonstration

Immersion demonstration in record time March 2002: Key note presentation @ SPIE Santa Clara Burn Lin (TSMC): First suggestion to consider immersion lithography 2002 2003 2004 October / November 2003: ASML and IMEC demonstrate feasibility on 0.75NA immersion prototype scanner January 2004: Sematech Lithography Forum Los Angeles World momentum shifts entirely from 157nm to immersion lithography

Immersion demonstration in record time March 2002: Key note presentation @ SPIE Santa Clara Burn Lin (TSMC): First suggestion to consider immersion lithography 2002 2003 2004 October / November 2003: ASML and IMEC demonstrate feasibility on 0.75NA immersion prototype scanner January 2004: Sematech Lithography Forum Los Angeles World momentum shifts entirely from 157nm to immersion lithography 193nm immersion 157nm

Immersion demonstration in record time March 2002: Key note presentation @ SPIE Santa Clara Burn Lin (TSMC): First suggestion to consider immersion lithography 2002 2003 2004 October / November 2003: ASML and IMEC demonstrate feasibility on 0.75NA immersion prototype scanner January 2004: Sematech Lithography Forum Los Angeles World momentum shifts entirely from 157nm to immersion lithography End 2004: multiple 2 nd generation 0.85 NA immersion scanners have been shipped (TSMC, IMEC)

Immersion Lithography A few challenges Process interactions Defectivity Polarization effects -1 +1 Lens dimensions

Immersion Lithography A few challenges Process interactions Defectivity Polarization effects -1 +1 Lens dimensions

Process Interactions Interaction of resist/top coat with water

Process interactions Some topcoats reveal an intrafield CD fingerprint similar to the soak simulations Soak simulation CD fingerprint

Process interactions CD soak fingerprint using new developer soluble topcoats is significant reduced compared to TSP3A (but still visible) New developer soluble topcoats TSP3A TILC019 TCX007

Immersion Lithography A few challenges Process interactions Defectivity Polarization effects -1 +1 Lens dimensions

Immersion defectivity A spherical air bubble casts a shadow

Bubble Defects Simulated (2-beam imaging) aerial image of 500nm bubble defect on 100nm L/S pattern

Bubble improvement (/1250i) Non-optimized conditions After optimization

Immersion specific defects Scanner contributions Bubbles (related to shower head design, wafer chuck design, ) Defects (related to water residues, related to chuck/head design, ) Resist/process contributions Resist leaching (resist conposition, use of top coat, ) Hydrophobicity (material contact angle, material response to water droplets, ) Water quality (particles, bacteria, TOC, ) α = 70 α = 105

Immersion Lithography A few challenges Process interactions Defectivity Polarization effects -1 +1 Lens dimensions

Polarization at high NA X-polarized (TM) NA=0.6 Y-polarized (TE) -1 +1-1 +1 Angle of incidence in resist Image contrast 70% 20 Image contrast 92% 1 0.9 0.8 0.7 0.6 In tensity 0.5 0.4 0.3 0.2 0.1 0-11 -108-101 -94-87 4-80 -73 6-66 -59-52 -46-39 -32 2-25 -18 4-11 -46 2 9.2 16 23 293643 50 6 57 64 4 7178 2 85 92 98 105112 Medium NA NA (0.6) (0.6) in in resist resist (n=1.7) (n=1.7)

Polarization at high NA X-polarized (TM) NA=0.85 Y-polarized (TE) -1 +1-1 +1 Angle of incidence in resist Image contrast 49% 30 Image contrast 92% 1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 High High NA NA (0.85) (0.85) in in resist resist (n=1.7) (n=1.7) -81-76 -72-68 -64-60 -56-52 -48-44 -40-36 -32-28 -24-20 -16-12 -8.1-40 4.03 8.05 12.1 16.1 20.1 24.2 28.2 32.2 36.2 40.3 44.3 48.3 52.3 56.4 60.4 64.4 68.4 72.5 76.5 80.5

Polarization at high NA X-polarized (TM) NA=1.3 Y-polarized (TE) -1 +1-1 +1 Angle of incidence in resist Image contrast -8% 50 Image contrast 59% 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 Very Very High High NA NA (1.3 (1.3 immersion) in in resist resist (n=1.7) (n=1.7) -52-49 -47-44 -42-39 -36-34 -31-29 -26-23 -21-18 -16-13 -10-7.8-5.2-2.6 0 2.6 5.2 7.8 10.4 13 15.6 18.2 20.8 23.4 26 28.6 31.2 33.8 36.4 39 41.6 44.2 46.8 49.4 52

Contrast enhancement with polarization SRAM, half pitch 55 nm, pitch 110 nm, 6% att PSM, dipole Y Un-polarized 0.93 NA dry BE+6.6% BE+3.3% BE BE-3.3% BE-6.6% BE+15.4% BE+10.3% BE+5.1% BE BE-5.1% BE-10.2% BE-15.4% Polarized Design adjustments

Immersion Lithography A few challenges Process interactions Defectivity Polarization effects -1 +1 Lens dimensions

Hyper NA: lens cost

Hyper NA: lens cost 193nm lens 2003 G-line lens 1975 >1000 mm >300 mm

Cost innovations in Optics for hyper NA 12 10 Expected according geometrical scaling dioptric Released or expected dioptric New catadioptric design Air Water Lens complexity 8 6 4 2 0 0.63 0.75 0.85 0.93 1 0.93 i 1.1 i 1.2 i 1.3 i n air NA 1.43 i n water

Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Status, Challenges, Outlook Conclusions