Feature-level Compensation & Control

Similar documents
Feature-level Compensation & Control

Purpose: Explain the top advanced issues and concepts in

Experimental assessment of pattern and probe-based aberration monitors

Optolith 2D Lithography Simulator

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project

Feature-level Compensation & Control

Synthesis of projection lithography for low k1 via interferometry

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Optical Projection Printing and Modeling

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Mask Technology Development in Extreme-Ultraviolet Lithography

Major Fabrication Steps in MOS Process Flow

IMPACT Lithography/DfM Roundtable

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Evaluation of Technology Options by Lithography Simulation

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

OPC Rectification of Random Space Patterns in 193nm Lithography

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Update on 193nm immersion exposure tool

Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project

Optical Microlithography XXVIII

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

FLCC Synergistic Design- For-Manufacturing (DFM) Research

EUV Lithography Transition from Research to Commercialization

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley

2009 International Workshop on EUV Lithography

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Computational Lithography

Photolithography I ( Part 1 )

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Progress in full field EUV lithography program at IMEC

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

16nm with 193nm Immersion Lithography and Double Exposure

Design Rules for Silicon Photonics Prototyping

EE-527: MicroFabrication

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Comparison of actinic and non-actinic inspection of programmed defect masks

Imec pushes the limits of EUV lithography single exposure for future logic and memory

SEMATECH Defect Printability Studies

Metrology in the context of holistic Lithography

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Process Optimization

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008


Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

OPC Scatterbars or Assist Features

MICRO AND NANOPROCESSING TECHNOLOGIES

Diffractive optical elements and their potential role in high efficiency illuminators

Managing Within Budget

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

Optics for EUV Lithography

Challenges of EUV masks and preliminary evaluation

EUV Interference Lithography in NewSUBARU

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Recent Development Activities on EUVL at ASET

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty

Modeling of EUV photoresists with a resist point spread function

Innovative Mask Aligner Lithography for MEMS and Packaging

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

EUVL Activities in China

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Part 5-1: Lithography

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

What s So Hard About Lithography?

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

1. INTRODUCTION ABSTRACT

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Micro- and Nano-Technology... for Optics

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

State-of-the-art device fabrication techniques

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

In-line focus monitoring and fast determination of best focus using scatterometry

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

Transcription:

Feature-level Compensation & Control

2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography

3 Lithography: Andy Neureuther, UCB Research Themes: Linking Process and EDA through multi-student test structure design, patternmatching and experiment Photomasks as precision instruments for monitoring projection printing Zernike.txt Mask Layout IFT Frank Gennari Pattern Matcher Pattern (coma) Fast EM Analysis methods to attain speeds required for OPC and die-to-database inspection 11/19/2003 - Lithography Aerial Image Simulator SPLAT Match Location(s)

4 Program Goals Year I Establish multi-student project Process-EDA test-structure Combine multiple feature size, proximity spacing and field polarity test-structures with circuit design elements into a mask layout. Use mask to study feature level scale and layout dependent effects in mask making, lithography (ASML-DUV), etching (Centura) and CMP (Berkeley apparatus). Understand impact of these effects on circuit designs. Establish Practical PSM-Precision Instrumentation Develop mask layout descriptions for aberration, illumination, and phase-etch depth monitors that mitigate mask making and quantitative interpretation issues (writing tolerance, writing time, and maximize simplicity in quantitative interpretation). Develop, benchmark and utilize the fast-cad Combine domain decomposition using edges (DDE) with libraries of scattering analysis of small regions to evaluate the speed and accuracy and utilize the simulation tool to establish guidelines for PSM printing issues. 11/19/2003 - Lithography

5 Test Structures for Linking Process and EDA Invented illumination monitors: Linear-phase grating illumination steering and Concentricspillover Greg McIntyre 90 probe Invented PSM performance monitor Greg is leading the development of multistudent project photomasks with test patterns and circuit layout snipits. 11/19/2003 - Lithography

6 Four Phase Mask Implementation [Tool Specs: 248nm, M = 4x, NA = 0.63] Pupil Shift 0.75 1.0 1.25 1.5 1.75 Period 4 (nm) 525 394 315 262 225 LPG ~35nm overlay error w/in tool spec. No resist bias used for phase etch ~ 2.1µm Likely artifact of 250nm AFM tip ~ 1.2µm 11/19/2003 - Lithography

Experimental Results: Annular.3/.6 AIMS Results LPG 7 Scanner Results Intensity (%CF) Aerial Image Cutlines for Given LPGs 0.6 0.5 0.4 0.3 0.2 0.1 0 0.5 0.75 1 1.25 1.5 1.75 2 Pupil Shift 11/19/2003 - Lithography 20 µm increasing dose: 12 15 18 21 24 27 30 33 36 39 42 45 48 51 54 57 60 63 72 81 90 mj cm 2

8 Four Phase Mask Fabrication results in desired effect LPR designed to detect light here 3µm Illumination Setting: AIMS Image: Cr 90 0 180 270 Central Intensity: Dimensions: λ=248nm, 5x, NA = 0.5 11/19/2003 - Lithography

9 Experimental Verification of Layout Effects Hideaki Oshima Undergraduate Hideaki is patterning 400nm deposited oxide with the ASML DUV stepper and the Applied Centura etcher to look for pattern dependent spillover. 11/19/2003 - Lithography

10 Using previously developed diagnostics in Centura TM The On-Wafer Ion Flux Probe for Uniformity Poly-Si etch rate T. W. Kim, S. J. Ullal, V. Vahedi, and E. S. Aydil, An On-Wafer Probe Array for Measuring Two-Dimensional Ion Flux Distributions in Plasma Reactors, Rev. Sci. Instrum. 73, 3494 (2002). 11/19/2003 - Lithography

11 Pattern-And-Probe Characterization Technology (λ/na) (λ/na) (λ/na) Defocus Spherical HO Spherical Garth Robins Developed pattern-and-probe aberration monitors on SFR including target operation and relate sensitivity, cross-talk, overdrive, etc. to discretization in space and phase through theory and simulation. Mask phases yellow = 0 green = 90 red = 180 11/19/2003 - Lithography Coma (λ/na) HO Coma Experimentally demonstrate and develop the quantitative usefulness of targets in industrial practice and science in collaboration with industry and colleagues. (λ/na)

11/19/2003 - Lithography 12 Target Operation: Defocus Add electric fields from probe & rings, taking into account the coherence at the probe position σ = 0.313 Intenisty (100%CF) 1.2 1.0 0.8 0.6 0.4 0.2 0.0-1.5-0.5 0.5 1.5 X-position (λ/na) Extended theory for in-phase component 0 RU = 0.43 ½ RU = 0.42 Intenisty (100%CF) + 1.2 1.0 0.8 0.6 0.4 0.2 0.0 Intenisty (100%CF) -1.5-0.5 0.5 1.5 X-position (λ/na) 1.2 1.0 0.8 0.6 0.4 0.2 0.0-1.5-0.5 0.5 1.5 X-position (λ/na) 0 RU = 0.70 ½ RU = 1.03 0 RU = 0.56 ½ RU = 0.72

2-Ring Defocus Target Across Field NA/σ = 0.8/0.25 E = 155J/m 2 FOV = 2µm 13 1800µm Phase yellow = 0º green = 90º red = 180º ~50nm ~50nm E = 195J/m 2 FOV = 0.32µm Probe prints within resist post ~50nm ~50nm NA/σ = 0.8/0.30 E = 195J/m 2 Same probe trend as above ~50nm 11/19/2003 - Lithography ~50nm

2-Ring Coma Target 0 /180 intensity imbalance Probe bleeds into innermost ring of like-phase Other features (rings) might be more sensitive to coma than probe 14 Phase yellow = 0º green = 90º red = 180º AIMS NA/σ = 0.631/0.093, 10 steps of 0.1RU Focus-exposure matrix NA/σ = 0.8/0.25 E min = 50J/m 2 w/ 15J/m 2 steps 50nm focal steps Through energy at best focus 3-R coma E steps = 15J/m 2 E = 95J/m2 E = 125J/m 2 Across field at E = 95J/m 2 1800µm 11/19/2003 - Lithography

15 Fast-CAD for Phase-Shifting Masks and Defects clear polygon E y. y z x L x edges subject to TE(//) polarization edges subject to TM( ) L polarization unaffected y field through Cr-layer edge shadow regions z E z y y x 50nm 180deg 80nm Cr. E 180deg y y 50nm x Michael Lam SFR work on polarization effects of masks and the design of masks for monitoring polarization. 11/19/2003 - Lithography 80nm Cr Domain Decomposition Using Edges from K. Adam on SFR Extend Domain Decomposition by Edges (edge-ddm) to attain speeds required for optical proximity comparison (OPC) and die-to-data base inspection.

16 Fixing Inspection by Adding Corner Corrections Near Field Error Function (NFEF) = Edge Synthesized - Rigorous Edge Synthesized Solution Mask Corner: True Rigorous Solution E Edge + Corner Synthesized Solution E 11/19/2003 - Lithography

17 Integrating DDM into OPC Difficult due to different ways of calculating image DDM: Requires FFT of near fields and uses TCC matrix to form image. (Frequency Domain) OPC: Requires no FFT, and uses a convolution to form image. (Spatial Domain) OPC uses: TCC ( ', n" ) N a ' * " n σ kφ k ( n ) Φ k ( n ) I ( x, y) σ k k = 1 Two ways to integrate DDM into OPC: 1) Modify Spatial Mask: O(x,y) 2) Provide some other imaging kernel for rigorous effects: Φ k 11/19/2003 - Lithography N a k = 1 Φ k ( x, y) O( x, y) 2

11/19/2003 - Lithography 18 Future Goals on Process-EDA Test-Structure Masks Year 1: Broad set of processes on tools at Berkeley: layouts; screening for effects and length scale; correlation with EDA May 2004 tape-out: Nikon, Canon alignment marks; New targets for flare, mid-freq flare, high- NA, polarization, immersion, needs proto-typical layout snipit (OPC, scatterbars, phase-edge, etc.) Year 2: Identify and quantify key parameters: modeling to design patterns and interpret results; EDA predictability Year 3: Industry acceptable: mitigate area, write-time; simulation calibrated interpretation, EDA calibration data Year 4: Emerging processes: screening; modeling; EDA calibration data

11/19/2003 - Lithography 19 Future Goals on PSM as Precision Instruments Year 1: Broad set of applications in addition to aberrations: demonstrate sensitivity and orthogonality; simulation and modeling calibration Deal with Demons: phase etch, imbalance, high NA Verify and Apply: wavelength detune UCB ASML Test new targets for flare, mid-freq flare, high- NA, polarization, immersion, Year 2: Industry acceptable versions and compare with existing alternatives: mitigate area, write-time, interpretation Year 3: Combine with zero foot print sensor technology and demonstrate for projection printing Year 4: Application to emerging technologies (immersion) and multi-step process issue (flare-plasma loading)

20 Future Goals on Fast-CAD Year 1: Domain Decomposition Edges plus libraries of small geometries; evaluate speed-accuracy issues Corners; Approaches for integration into OPC fast-math Year 2: Inspection; adapt to larger illumination angles and high-na Year 3: Die-to-database; characterize trade-offs in accuracy and speed Year 4: Emerging processes: mask-less lithography and laser assisted processing 11/19/2003 - Lithography

21 Manufacturing Issues in Extreme Ultraviolet Lithography Jason P. Cain, Prof. Costas J. Spanos, UC Berkeley Performed experiment and simulation-based research into use of scatterometry for measuring line edge roughness. Results showed that the method was infeasible at DUV wavelengths. Investigate methods for characterizing process effects in EUV lithography, taking advantage of advanced metrology and feature-level test patterns. 11/19/2003 - Lithography

22 Features of the Micro-Exposure Tool (MET) From synchrotron Located at Advanced Light Source, LBNL Programmable coherence illuminator (λ = 13.5 nm) 600 µm 200 µm static field 0.3 NA, 5 demagnification Pupil-fill monitor Current status: Scanner modules Reticle stage First wafer exposed 2/20/04 30 nm L/S features achieved Currently tuning illumination for optimal performance MET Wafer stage and height sensor Pupil-fill monitor 11/19/2003 - Lithography

23 First Reticles Completed Reticlepositioning fiducial arrays active area (35 fields) 35 1x3-mm fields Chrome absorber on Si/Mo multilayer Written in CXRO Nanowriter at LBNL 11/19/2003 - Lithography

24 Characterization of PEB Sensitivity Used commercial temperature sensor wafers to measure uniformity of PEB plate Bake plate in current use at LBL shows significant temperature non-uniformity (1.5-2 C across 4 wafer) This knowledge can be used to separate PEB effects from other processrelated effects (e.g. FEM wafers) 11/19/2003 - Lithography 127.5 125.5 123.5

25 Flare Measurement with Cross Patterns Increase dose until cross arms begin to disappear Full field Cross shape allows measurement of flare anisotropy Varying feature width allows measurement of different flare scales 2 µm 1 µm 0.5 µm Replication across field allows measurement of across-field flare variation 11/19/2003 - Lithography 0.2 0.1 0.08 0.06 0.05 0.04 0.03 µm

26 Novel Method for Flare Measurement Highly coherent source (σ 0.05) Condenser Use of coherent illumination combined with central obscuration results in twobeam imaging -1 0 +1 Mask Projection optics with central obscuration Phase errors induced by the lens will change the relative phase between the two beams and cause a lateral shift in the aerial image Wafer 11/19/2003 - Lithography Shifting the light source within the pupil will sample different points on the lens

Simulation of Flare Measurement Random pupil phase error map generated 27 Perfectly coherent illumination is shifted within the pupil Predicted aerial image jitter is seen in simulation Resulting blur in integrated aerial image is a measure of the phase error variance of the lens 11/19/2003 - Lithography

28 Library Construction for 65 nm Features Scatterometry library constructed using gtk, a fast RCWAbased simulator for periodic gratings and thin films. Initial library provides somewhat coarse resolution Consists of simple trapezoidal profiles Intended to cover a wide parameter space in a short time CD: in 1 nm steps 50 nm 80 nm Height: in 1 nm steps SWA: 100 nm 140 nm 70º 90º in 1º steps 11/19/2003 - Lithography Total library size = 31 41 21 = 26,691 entries

29 Scatterometry CD Sensitivity at 65 nm Simulation study conducted to determine change in diffraction response for CD, sidewall angle, and line height There is adequate sensitivity to CD variations in the sub-1 nm range Sensitivity to height of sub-1 nm, SWA of sub-1 also shown 11/19/2003 - Lithography

30 Future Goals Establish scatterometry as a dependable metrology tool for feature sizes required at EUV nodes Complete initial characterization experiments Flare measurement with cross patterns PEB plate characterization and sensitivity study Investigate novel method of flare measurement using in-situ two-beam interferometry combined with scatterometry 11/19/2003 - Lithography