Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Similar documents
Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Digital Systems Design

ERAU the FAA Research CEH Tools Qualification

ASIC Computer-Aided Design Flow ELEC 5250/6250

Introduction to co-simulation. What is HW-SW co-simulation?

5G R&D at Huawei: An Insider Look

Agenda. FPGA Network Safety, Certification & Security. Thursday 19 th May University of Hertfordshire. Sponsored by

Making your ISO Flow Flawless Establishing Confidence in Verification Tools

Find Your Niche. RF Design

Getting to Work with OpenPiton. Princeton University. OpenPit

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY

Access. your. Imagination

Getting to Smart Paul Barnard Design Automation

Hardware-Software Co-Design Cosynthesis and Partitioning

Welcome to FPGAworld Conference 2018

Meeting the Challenges of Formal Verification

CMOS Technology for Computer Architects

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Embedded Instrumentation Ushers in a New Era for the Test and Measurement Industry. By Glenn Woppman President and CEO ASSET InterTech

Hardware Implementation of Automatic Control Systems using FPGAs

Changing the Approach to High Mask Costs

Trends in Functional Verification: A 2014 Industry Study

ASICs Concept to Product

NGP-N ASIC. Microelectronics Presentation Days March 2010

Implementation of Digital Modulation using FPGA with System Generator

Lecture 1: Introduction to Digital System Design & Co-Design

Low Power Design Methods: Design Flows and Kits

SpectraTronix C700. Modular Test & Development Platform. Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications

PREPARATORY ACTION ON DEFENCE RESEARCH

PORTING OF AN FPGA BASED HIGH DATA RATE DVB-S2 MODULATOR

Network Event Bulletin

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE

Lecture Perspectives. Administrivia

Topics for Project, Diploma, Bachelor s, and Master s Theses

Course Outcome of M.Tech (VLSI Design)

Pragmatic Strategies for Adopting Model-Based Design for Embedded Applications. The MathWorks, Inc.

August 5 8, 2013 Austin, Texas. Preliminary Conference Program. Register now at ni.com/niweek or call

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Great Minds. Internship Program IBM Research - China

- Software Engineer con Laurea Magistrale in Informatica, Telecomunicazioni o Elettronica

Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools

A SURVEY OF VIRTUAL PROTOTYPING TECHNIQUES FOR SYSTEM DEVELOPMENT AND VALIDATION

Test & Measurement Technology goes Embedded

Real-Time Testing Made Easy with Simulink Real-Time

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

LEARN REAL-TIME & EMBEDDED COMPUTING CONFERENCE. Albuquerque December 6, 2011 Phoenix December 8, Register for FREE

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

Interested candidates, please send your resumes to and indicate the job title in subject field.

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

Image Enhancement using Hardware co-simulation for Biomedical Applications

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article. L. Pyrgas, A. Kalantzopoulos* and E. Zigouris.

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions

Policy-Based RTL Design

Project Abstract Submission : Entry # 456. Part 1 - Team. Part 2 - Project. Team Leader Name. Maroua Filali. Team Leader .

Pramod Kumar Naik Senior Application Engineer MathWorks Products

AC : ORTHOGONAL FREQUENCY DIVISION MULTIPLEX- ING (OFDM) DEVELOPMENT AND TEACHING PLATFORM

SYSTEM-ON-A-CHIP (SOC) VERIFICATION METHODS December 6th, 2003

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

Model-Based Design for Sensor Systems

EUROCHIP-EUROPRACTICE 20 Years of Design Support for European Universities

SW simulation and Performance Analysis

Millimetre-wave wireless backhaul in 5G networks. Mike Geen Head of Engineering Filtronic Broadband

Stephen Plumb National Instruments

Using an FPGA based system for IEEE 1641 waveform generation

2.6.1: Program Outcomes

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

ANNUAL DFMPro USER MEET

FPGA Based System Design

EPD ENGINEERING PRODUCT DEVELOPMENT

UNLV ME 425/625 Robotics. Introduction and Course Philosophy

A FFT/IFFT Soft IP Generator for OFDM Communication System

The Application of System Generator in Digital Quadrature Direct Up-Conversion

Computer Aided Design of Electronics

Tutorial: Using the UML profile for MARTE to MPSoC co-design dedicated to signal processing

DTP4700 Next Generation Software Defined Radio Platform

VLSI. at IIT Delhi Placements Placement Brochure. Department of Electrical Engineering. Department of Computer Science and Engineering

Implementation of Huffman Decoder on Fpga

LEADING DIGITAL TRANSFORMATION AND INNOVATION. Program by Hasso Plattner Institute and the Stanford Center for Professional Development

RF and Microwave Test and Design Roadshow Cape Town & Midrand

Verification Futures The Next 5 Years

(VE2: Verilog HDL) Software Development & Education Center

Huawei response to the Ofcom call for input: Fixed Wireless Spectrum Strategy

Representative: Stan Krolikoski, Distinguished Engineer, Strategic Alliances

From Antenna to Bits:

Automated Test Summit 2005 Keynote

Datorstödd Elektronikkonstruktion

Using a COTS SDR as a 5G Development Platform

Modernised GNSS Receiver and Design Methodology

PE713 FPGA Based System Design

ARTEMIS The Embedded Systems European Technology Platform

ADS-SystemVue Linkages

Accelerated Deployment of SCA-compliant SDR Waveforms 20 JANUARY 2010

OSS for Governance and Public Administration : Strategic role of Universities

CALL FOR PAPERS. embedded world Conference. -Embedded Intelligence- embedded world Conference Nürnberg, Germany

LEADING DIGITAL TRANSFORMATION AND INNOVATION. Program by Hasso Plattner Institute and the Stanford Center for Professional Development

Von der Idee bis zur Umsetzung in einer Entwicklungsumgebung State of the Art von Dr. Simon Ginsburg

International Journal of Scientific & Engineering Research, Volume 7, Issue 3, March-2016 ISSN

Architecting Systems of the Future, page 1

Transcription:

Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:45 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment Andy Jolley, Synopsys FPGA platform development kit enables fast TTM Chad Hamilton, BittWare Inc. Staying competitive by evolving your FPGA verification methodologies Alex Grove, Mentor Graphics A game changer for VHDL verification: advanced HDL verification made simple for anyone Espen Tallaksen, Bitvis AS FPGA real-time debug with vastly increased operational capture time live demo Nick Hardy, Telexsus 100M gate designs in FPGAs fact or fiction? Jonathan Meadowcroft, Cadence Zen and the art of high-speed design Mark Connor, ALTERA now part of Intel Vivado HLx design methodology - John Blaine, Xilinx Static code analysis using Blue Pearl software - Andy Culmer, ITDev 16.00 Networking Coffee, Event Close 1

Presentations Details Static code analysis using Blue Pearl software More details coming soon. Andy Culmer, Engineering Director Andy Culmer is ITDev s Engineering Director and has held this role for the past ten years. Having started his career as a design engineer at Philips Semiconductors back in 1998, He has worked on and managed a wide variety of projects spanning digital, mixed-signal and software design. Andy now uses this experience to garner an operational overview of all ITDev s projects as well as taking a more hands-on role in system architecture and requirements capture. Andy joined ITDev from Semtech, where he led a team of engineers in the development of a family of telecoms synchronisation products. Andy lives in Winchester with his wife and two children and enjoys mountain biking and water sports. Staying competitive by evolving your FPGA verification methodologies Alex Grove, Application Engineer FPGA vendors continue to create new ways for FPGA users to efficiently design into complex FPGAs. This has created a widening gap between design abstraction and verification on which traditional verification approaches come up short. As a result, more FPGA users want and need to adopt modern verification practices to be competitive. Unfortunately, they don't always know where to start or find the cost/risk too great. You will learn about themes that are pushing the need for advanced verification, understand how FPGA users are adapting and how a new look at verification methodologies helps build higher quality, on-time products. Alex Grove has over 20 years experience in the EDA industry having worked for Synopsys, ARM, Synplicity, Aldec, OneSpin Solutions, and Mentor Graphics. He has experience in the design and verification of ASICs and FPGAs, functional safety, and a broad knowledge of the EDA industry. After graduating from Aston University, with an honours degree in Electronic Engineering & Computer Science, Alex joined Synopsys Northern Europe to work on synthesis and test. During his time at Mentor, Alex has worked as a product specialist for High-Level Synthesis and Virtual Prototyping and is now working as European Application Engineer for functional verification with a focus on simulation and FPGA-based prototyping. 2

Flexible debug and visibility techniques to enhance all FPGA design and deployment cycles The need to visualize and debug FPGA designs through all stages of the development and verification stages and at multiple levels of abstraction is the key to any effective design and deployment cycle. This session provides an overview of how the latest flexible debug and visibility technologies can be deployed to address specific implementation and verification challenges. The technologies are applicable to those using FPGAs for product or for FPGA based physical prototyping. Andy Jolley, Solutions Corporate Application Consultant FPGA-Based Prototyping Andy has been working with FPGA technologies for over 28 years, originally in a design capacity in the telecommunications, radar and video industries before supporting FPGA synthesis and prototyping technologies at Synplicity and then Synopsys. Most recently, Andy has been supporting UK customers with their complex CPU SoC and GPU IP prototyping needs on the Synopsys HAPS platforms while also providing support for worldwide engagements to deploy the same SoC and GPU IPs embedded into user applications. Andy holds a 1st Class Bachelor s Degree in Electronic Engineering from the University of Brighton, England. FPGA Platform Development Kit Enables Fast TTM FPGA designers would much rather spend their time developing their secret sauce than working on interfaces that should just work! It s no secret that too large a percentage of time is spent on getting the FPGA interfaces working before integrating any custom IP. BittWare s FPGA Development Kit (FDK) provides a development environment where the low level IP blocks do just work, enabling rapid development of their custom FPGA on BittWare board platforms. By leveraging standard tool flows from Altera and Xilinx, with a library of preconfigured IP components and example projects, the FDK drastically cuts development time. Chad Hamilton, Vice President of Intellectual Property (IP), Software & Support Chad Hamilton leads the effort to add value to BittWare s boards via software products and tools, soft IP products and examples, and technical support to BittWare s customer base. Prior to joining BittWare, Hamilton spent several years developing FPGA & ASIC based products at Cabletron Systems, Northchurch Communications (start-up acquired by Newbridge Networks) & Applied Micro Circuits Corporation. He also has extensive experience in Field Applications Engineering at Arrow Semiconductor and Sales at CompRep Associates. Hamilton holds a Bachelor of Electrical Engineering from the University of New Hampshire (Durham, NH). 3

A game changer for VHDL verification: advanced VHDL verification made simple for anyone Verification overview, readability, maintainability and reuse are vital for FPGA development efficiency and quality. UVVM VVC Framework was released in 2016 as a free, open source methodology - and is a true game changer for VHDL test benches. The VVC (VHDL Verification Component) approach makes it Lego-like to build. A key benefit is the simple SW-like VHDL test sequencer that controls the TB architecture. This takes overview, readability and maintainability to a new level. This presentation will show the simplicity of UVVM and explain what a VVC it is doing and how easy it is to understand the test sequencer controlling them. It will also show how randomisation and coverage may be controlled. Espen Tallaksen, Managing Director Espen Tallaksen is the managing director and founder of Bitvis, an independent design centre for embedded software and FPGA. He graduated from the University of Glasgow in 1987 and has 29 years experience with FPGA and ASIC development from Philips Semiconductors in Switzerland and various companies in Norway, including his earlier founded company Digitas. Espen delivers courses on how to design and verify FPGAs more efficiently and with a better quality. Espen has had a special interest for methodology cultivation and pragmatic efficiency and quality improvement. One result of this interest is the UVVM verification platform that is currently being used by companies world-wide. 100M gate designs in FPGAs - Fact or Fiction? Jonathan Meadowcroft, Senior Sales Technical Leader Today's FPGAs are large, one can now design with 10M gates, even 20M gates is possible, and every few years, this capacity is doubled again. But how do I get larger designs onto an FPGA, how do I get it implemented, and perhaps the most important question, how do I get it verified? The presentation will look at the issues of large designs in FPGAs and discuss how methods and tools from ASIC design and verification make the development of ultra-large FPGA designs manageable. Special attention will be given to memories, clocking and verification. Jon Meadowcroft is a Senior Application Engineer at Cadence Design Systems, responsible for hardware emulation and FPGA prototyping. He previously spent 16 years as a designer of ASIC, FPGA and systems in areas such as signal processing, graphics, mobile and wireless 4

Vivado HLx Design Methodology Making an SoC design for an FPGA is a task that requires a lot of manpower. The HLx methodology is a process to enable focus on your value add portion of the design. What makes HLx a higher level methodology? In this presentation we focus on the 4 key enablement steps of methodology and some features we are adding to the tools to enable this. John Blaine, Software Application Engineer Over 15 years of Xilinx experience mostly served working with customers from different domains. Recently moved to a software applications position working on Vivado implementation software. Zen & the art of high speed design Designing for high-speed has long been the black art of electronic design. The "art" is essential for system performance and it can also reduce system cost. It s an essential skill for advanced FPGA designers. This presentation will highlight the thought processes and techniques used throughout the design process and features within silicon to achieve systemwide clock speeds up to 1GHz. Mark Connor, Staff Field Application Engineer Mark Connor joined the Altera Northern Europe team in 1997 he has held several positions including Design Specialist FAE before his current position. These roles involved helping customers implement their designs, closing timing, benchmarking designs against competing solutions and imparting knowledge through training. 5

FPGA real-time debug with vastly increased operational capture time live demo Nick Hardy, Director Traditional FPGA debug tools are limited in their capability to provide the depth of data capture required for debugging modern designs. The small window of observation often leaves engineers guessing which signals to scrutinise as well as which conditions to trigger upon. FPGA designs can take hours to compile, meaning the debug guessing process can cause serious delays to the resolution of issues and therefore to entire projects. This new methodology provides capturing of up to 200,000 times more FPGA operations than standard embedded instrumentation tools - rare or nonrepetitive events can then be captured with these deep trace depths. Nick Hardy is a co-founder of Telexsus Ltd and has over 15 years experience in electronic design, manufacturing and consultancy, in sectors from defence to semiconductor. Nick s experience working for start-ups and large corporations has given him a clear understanding of the challenges faced by companies from design concept through to product manufacture and support. Particular areas of focus include FPGAs, high speed interface standards and JTAG Boundary scan. Telexsus delivers world class electronic engineering development and test tools combined with support and training. 6