Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Similar documents
Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Update on 193nm immersion exposure tool

Line End Shortening, part 2

Using the Normalized Image Log-Slope, part 2

OPC Scatterbars or Assist Features

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mask Technology Development in Extreme-Ultraviolet Lithography

Challenges of EUV masks and preliminary evaluation

Purpose: Explain the top advanced issues and concepts in

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Developing an Integrated Imaging System for the 70 nm Node Using High Numerical Aperture ArF Lithography

Key Photolithographic Outputs

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Electron Beam Lithography. Adam Ramm

2009 International Workshop on EUV Lithography

2014 International Workshop on EUV Lithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Optical Proximity Effects

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Double Exposure Using 193nm Negative Tone Photoresist

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Synthesis of projection lithography for low k1 via interferometry

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Optical Microlithography XXVIII

Defect printability of thin absorber mask in EUV lithography with refined LER resist

EUV Interference Lithography in NewSUBARU

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

EUVL: Challenges to Manufacturing Insertion

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

Reducing Proximity Effects in Optical Lithography

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

In-line focus monitoring and fast determination of best focus using scatterometry

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Progress & actual performance of the Selete EUV1

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Optical Proximity Effects, part 2

Progress in full field EUV lithography program at IMEC

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Development of a Sub-100nm Integrated Imaging System Using Chromeless Phase-Shifting Imaging with Very High NA KrF Exposure and Off-axis Illumination

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Advanced Patterning Techniques for 22nm HP and beyond

Lithography on the Edge

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Evaluation of Technology Options by Lithography Simulation

Development of ultra-fine structure t metrology system using coherent EUV source

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

SEMATECH Defect Printability Studies

Approaching the NA of Water: Immersion Lithography at 193nm

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

MICROCHIP MANUFACTURING by S. Wolf

optical and photoresist effects

Nikon F2 Exposure Tool

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Extending SMO into the lens pupil domain

EUV lithography: today and tomorrow

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Pupil wavefront manipulation for optical nanolithography

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool

Optical Projection Printing and Modeling

Diffractive optical elements and their potential role in high efficiency illuminators

Aerial image based mask defect detection in dense array structures

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

Toward 5nm node ; Untoward Scaling with Multi-patterning

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Fundamental Aspect of Photosensitized Chemically Amplified Resist How to overcome RLS trade-off

Scope and Limit of Lithography to the End of Moore s Law

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Feature-level Compensation & Control

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

EUV lithography: status, future requirements and challenges

Mirror-based pattern generation for maskless lithography

Major Fabrication Steps in MOS Process Flow

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project

EUVL getting ready for volume introduction

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Sub-50 nm period patterns with EUV interference lithography

Linewidth control by overexposure in laser lithography

EE-527: MicroFabrication

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Optics for EUV Lithography

DSA and 193 immersion lithography

MICRO AND NANOPROCESSING TECHNOLOGIES

Transcription:

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Photon shot noise effect in EUVL Degrades stochastic imaging performance Suggestion of a thin attenuated PSM Comparing PSM with conventional BIM Photon latent image simulation results Aerial image simulation results Stochastic imaging performance simulation results Conclusion 02

Intensity Fluctuations of the number of photons detected due to their occurrence independent on each other Position Magnitude of shot noise increases 03

Statistical fluctuations between photon and photoresist Exposure dose number of quanta Photon energy and dose Small number of quanta for shorter wavelength Large shot noise Light source Energy (ev) Wavelength ArF 6.4 193 nm EUV 92 13.5 nm E-beam 50,000 5.5 pm Timothy A. Brunner, JVST B Vol. 21, 2632 (2003) Burn J. Lin, SPIE Vol. 7520, 752004 (2009) 04

Defocus Shot noise effect in defocus Reduction of photon numbers concentrated on the edge of pattern, causes increase of PSN effect at the edge of the pattern 05

PSN effect deteriorates CER and CDU in contact hole pattern Mask Resist N = absorbed photons in exposed area Increasing # of photons by increasing exposure dose, improves CDU 2014 International Workshop on EUV Lithography 06/17 Zhih-Yu Pan, SPIE Vol. 6924, 69241K (2008)

1) 2) 1) BIM = 70 nm TaN absorber layer 2) Attenuated PSM = 26.5 nm TaN absorber layer, 14 nm Mo phase shift layer 20, 22, 24 nm 1:1 dense C/H pattern 07

Simulator Resist PROLITH X4 (KLA-Tencor) [Adv. CA] EUV generic resist model (offered by KLA-Tencor) Simulator & Resist model Material n k NA 0.33 Center sigma 0.7 Pole radius 0.2 AOI 6 º Demagnification 4X Modeling of illumination condition TaN 0.9260 0.0436 Si 0.9990 0.0018 Mo 0.9238 0.0064 Ru 0.8864 0.0171 Optical constants of materials at 13.5 nm <Refractive index (n) = 1-δ+iβ> 08

Photon latent image simulation results Decrease in dose-to-size by using PSM for smaller patterns 09

Aerial image simulation results Improvement in image contrast and ILS by using PSM 10

20nm hp 22nm hp 24nm hp / / = 0th/1st/2nd order diffraction with BIM / / = 0th/1st/2nd order diffraction with PSM Simulation results of diffraction efficiencies Diffraction efficiencies (±1st order, ±2nd order, ) of PSM were much higher Contains information of pattern image 11

PSM BIM Distinct difference in the distributions of absorbed photons Less diffusion at the edge of the patterns by using PSM 12

Stochastic imaging performance simulation results Improvement in CDU by adopting PSM CDU 48%, 50%, 46% improvement @ 20, 22, 24 nm hp CDU (6/ILS) (1/ N*), N* = diffracted photons absorbed in exposed area 13

Improvement in CER by adopting PSM CER 29%, 42%, 37% improvement @ 20, 22, 24 nm hp 14

If the CER decreases 30% (3 nm 2.1 nm [3σ]) for 32nm contact hole Contact resistance variation: ±16% ±8% Saturation current variation: ±0.63% ±0.26% Yongchan Ban, SPIE Vol. 7641, 76410D (2010) CER reductions by using PSM = 29%, 42%, 37% for 20, 22, 24 nm contact holes Reduction of the resistance and current variation will be much larger 15

In order to alleviate PSN effect in C/H pattern, we suggested attenuated phase-shift mask concept. By using PSM Dose-to-size were reduced Image contrast & ILS of aerial image were increased CDU & CER were improved comparing with a conventional BIM PSN effect was effectiviely mitigated with the PSM resulting in the improvement of stochastic imaging properties and consequently increasing the device performance of contact resistance and saturation current. 16

2014 International Workshop on EUV Lithography 17/17