Enabling Breakthroughs In Technology

Similar documents
Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

FinFET vs. FD-SOI Key Advantages & Disadvantages

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices

Innovation to Advance Moore s Law Requires Core Technology Revolution

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Challenges and Innovations in Nano CMOS Transistor Scaling

III-V CMOS: the key to sub-10 nm electronics?

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap?

HOW TO CONTINUE COST SCALING. Hans Lebon

32nm Technology and Beyond

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

III-V CMOS: Quo Vadis?

FinFET Devices and Technologies

Nanoscale III-V CMOS

Newer process technology (since 1999) includes :

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

Scaling Electronics: Kelin J. Kuhn Intel Fellow. Kelin Kuhn / MIT / April 4 th

InGaAs Nanoelectronics: from THz to CMOS

FinFET-based Design for Robust Nanoscale SRAM

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

Device architectures for the 5nm technology node and beyond Nadine Collaert

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Advanced PDK and Technologies accessible through ASCENT

Nanoelectronics and the Future of Microelectronics

Intel s High-k/Metal Gate Announcement. November 4th, 2003

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

Practical Information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Drain. Drain. [Intel: bulk-si MOSFETs]

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

Practical Information

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

III-V Channel Transistors

Tunneling Field Effect Transistors for Low Power ULSI

Integrated CMOS Tri-Gate Transistors: Paving the Way to Future Technology Generations

Intel s s Silicon Power Savings Strategy

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

InGaAs MOSFETs for CMOS:

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

Intel s Breakthrough in High-K Gate Dielectric Drives Moore s Law Well into the Future

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

Thermal Management in the 3D-SiP World of the Future

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Intel Technology Journal

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

InGaAs is a promising channel material candidate for

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Process Variability and the SUPERAID7 Approach

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

InGaAs MOSFET Electronics

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Lecture 1 Introduction to Solid State Electronics

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005)

State-of-the-art device fabrication techniques

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Session 3: Solid State Devices. Silicon on Insulator

Lecture #29. Moore s Law

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Scaling of Semiconductor Integrated Circuits and EUV Lithography

A Review of Low-Power and High-Density System LSI

Opportunities and Challenges for Nanoelectronic Devices and Processes

InAs Quantum-Well MOSFET for logic and microwave applications

A Review on Advancements beyond Conventional Transistor Technology

FOR SEMICONDUCTORS 2009 EDITION

40nm Node CMOS Platform UX8

MICROPROCESSOR TECHNOLOGY

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

The Development of the Semiconductor CVD and ALD Requirement

Logic Technology Development, *QRE, ** TCAD Intel Corporation

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Transcription:

Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011

Defined To be defined Enabling a Steady Technology Cadence TECHNOLOGY GENERATION 65nm 2005 45nm 2007 32nm 2009 22nm 2011 14nm 2013 10nm 2015 MANUFACTURING DEVELOPMENT RESEARCH 7nm 2017 Beyond 2020 What to do now to enable these future generations? Not to scale

But first some old technology

Exploration late 90 s Talk of 0.1um as the end due to leakage power 248nm lithography limited device exploration Then enabled Creation of sub-resolution features Study of dense patterns (later) 1997 Intel Invention Spacer based pattern 2002 Intel: 10nm planar transistor Exploration was not limited by lithography

Exploration late 90 s Talk of 0.1um as the end due to leakage power 248nm lithography limited device exploration Exploration today 193 immersion lithography + Spacer based pattern twice Pitch Quartering with 193i, 16nm features 1997 Intel Invention Spacer based pattern Scalable to Sub 10nm features Exploration (still) not limited by lithography

Evaluation early 00 s 15 yrs discussion of possible non-planar device concepts No systematic scaling studies Then enabled Assessment of scaling challenges and critical parameters Focus for optimization Planar Thin body SOI FinFET on SOI Trigate on SOI Build all variations and compare

Gate Evaluation early 00 s 15 yrs discussion of possible non-planar device concepts No systematic scaling studies Evaluation today Assessment of scaling challenges and critical parameters for III-V Thin body SOI Quantum Well equivalent to Thin body SOI S/D n+cap HiK HiK S/D n+cap InP InAlAs III-V Barrier In 0.7 Ga 0.3 As QW InAlAs Barrier FinFET on SOI Trigate on SOI 3D devices InGaAs

Integration mid 00 s Move to bulk silicon (cost) Catch up to planar for high k/metal gate + strain Create working CMOS Then enabled Quality decision to adopt Development roadmap Lots more work!!!! High-K Intel data 2006 IEDM 2006 Tri-gate SRAM cells demonstrated Tri-gate RMG process flow developed

Integration mid 00 s Move to bulk silicon (cost) Catch up to planar for high k/metal gate + strain Create working CMOS Integration Today III-V grown on bulk silicon High k integration done Strain engineering not needed 3D devices partially done N and P on same wafer NOT DONE High-K Tri-gate SRAM cells demonstrated Tri-gate RMG process flow developed

Si d THEN Optimizing Choices for Transistors on Multiple Fronts SEM Micrograph Energy Band Diagram Increasing MOBILITY Source Gate n-ge Drain (better ON) Strain Ge III-V CNT InP QW Graphene InAlAs Barriers Increasing COUPLING (better OFF) Planar With High K UTB SOI (or QW) Fins Wires/Dots

Si d NOW Optimizing Choices for Transistors on Multiple Fronts SEM Micrograph Energy Band Diagram Increasing MOBILITY Source Gate n-ge Drain (better ON) Strain Ge III-V CNT InP QW Graphene InAlAs Barriers Increasing COUPLING (better OFF) Planar With High K UTB SOI (or QW) Fins Wires/Dots

Interconnects Need to Scale 14nm filled trench Needed Focus Thin conformal plateable barrier or self forming barrier Tall vias might use non-cu Non-SiO2 dielectrics Exotic long interconnects: CNT (10 s um), optical (>mm) 3D stacking ~15nm Cu nanowire 5nm conformal Cu On-chip optical interconnect CNT

Broad Range of Options Liu IEDM 2010 M. Luisier (Purdue) EDL 2009

We Expect Technology Innovation to Continue 65nm 2005 45nm 2007 32nm 2009 22nm 2011 * 14nm 10nm 7nm Beyond 2013 * 2015 * 2017 * 2019+ MANUFACTURING DEVELOPMENT RESEARCH III-V High-K Materials Synthesis Interconnects Germanium Dense Memory Nanowires *projected

Conclusions Moore s Law is not a law of nature, it is an expectation of continued innovation We expect to continue through focused research, rapid development, investment in production Scaling research is increasingly about materials research, solving problems brings opportunities New product opportunities will arise from continued advances in integration, connectivity

Discussion