Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip

Size: px
Start display at page:

Download "Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip"

Transcription

1 Network-on-Chip Symposium, April 2008 Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip Po-Tsang Huang, Wei-Li Fang, Yin-Ling Wang and Wei Hwang Department of Electronics Engineering & Institute of Electronics, and Microelectronics and Information Systems Research Center, National Chiao-Tung University, HsinChu 300, Taiwan

2 Outline Introduction Low power joint bus/error correction coding concept Self-corrected green coding scheme Triplication error correction coding stage Green bus coding stage Simulation Results Conclusions National Chiao-Tung University 2

3 Motivation Network-on-chip : an effective solution to integrate multi-core system and a process independent interconnection architecture. Physical design of NoC switch Link wires network interface National Chiao-Tung University 3

4 Introduction Three critical issues for on-chip communication Delay coupling capacitances Power parasitic and coupling capacitances Reliability degrading due to noises Novel design techniques are proposed to overcome the crosstalk effect and further provides a reliability bound for on-chip interconnection. Joint bus and error correction coding schemes National Chiao-Tung University 4

5 Outline Introduction Low power joint bus/error correction coding concept Self-corrected green coding scheme Triplication error correction coding stage Green bus coding stage Simulation Results Conclusions National Chiao-Tung University 5

6 A unified framework of coding in SoC k l Crosstalk Avoidance Code(CAC) Error Control Code (ECC) m Linear Crosstalk Code(LXC) m c Crosstalk avoidance codes (CAC) Avoid specific code patterns or code transitions to reduce delay and power dissipation. Error control codes (ECC) Detect and correct the error bits Linear crosstalk code (LXC) Shielding link wires, duplicated bits National Chiao-Tung University 6

7 Serialization technique for link wires K-to-N serialization K bits K/N bits physical transfer unit (phit) the data which is divided and transmitted through micro-network Area Cost (1/N 2 ) Switch delay Crosstalk, signal-to signal skew Signaling Rate -> predefined well-structured link National Chiao-Tung University 7

8 Self-corrected green coding scheme Self-corrected green coding scheme triplication error correction coding stage, green bus coding stage Shorter delay for ECC, more energy reduction and smaller area Switch Fabric Processor Element Processor Element interface interface Bus Encoder Serializer Joint bus and error correction coding with serializer/deserializer Bus Decoder Deserializer ECC encoder Channels through Multi switch fabrics ECC decoder ECC decoder ECC encoder ECC encoder ECC decoder National Chiao-Tung University 8

9 Outline Introduction Low power joint bus/error correction coding concept Self-corrected green coding scheme Triplication error correction coding stage Green bus coding stage Simulation Results Conclusions National Chiao-Tung University 9

10 Triplication error correction coding stage triplication set The hamming distance of each set is equal to 3. A constant delay of a majority gate and much smaller than others Rapid correction ability by self-corrected mechanism in bit-level. National Chiao-Tung University 10

11 Word error probability of triplication Error correction mechanisms Reducing supply voltage of channels without compromising the reliability of system. A Gaussian distributed noise voltage V N with variance is added to the signal waveform. 2 y V dd 1 ε = Q ( ) 2 2σ, Q x = e dy n 2π Word-error probability : where k : the size of bit-width : bit-error probability ε x 2 3 Ptriplication 3kε 2kε 2 σ N National Chiao-Tung University 11

12 Green Bus Coding Stage Green bus coding stage Reducing coupling effect Design Flow Establish triplication capacitance matrix Ct by RLC cyclic model Approximate Cyclic model C 1,2 C 2,3 C 3,4 Transition definition Derive power formula with the coefficient α C1,1 C 2, 2 C 3,3 C 4,4 Find the codeword to minimize the value, α Triplication capacitance matrix λ = C C X L, 3+ λ λ 0 0 t λ 3+ λ λ 0 C = C L 0 λ 3+ λ λ 0 0 λ 3+ λ Map the codeword to data-word Circuit Implementation National Chiao-Tung University 12

13 Five types of signal transitions Static transitions Type1 Type2 H Type3 L L L Cx Cx Cx L H Dynamic transitions Type4 Type5 L H L H H L L Type3 (no switching or switching in the same direction) Cx Cx L H L H signal aliasing National Chiao-Tung University 13

14 Triplication Power formula The power consumption can be derived as follow. P= f C V α L 2 DD ( d d d d ) ( ) α = 3( r + r + r + r + r ) + λ r r + r r + r r + r r λ ( ) Type 2,5 Type 1 α is a modified switching activity with considering coupling capacitances. The meaning of ri rj is that only one line is changing between two lines as type 1. For the term of ( d ) ij, it is about the two lines change in the opposite direction as type2 and type5 transitions. National Chiao-Tung University 14

15 Codeword of green bus coding National Chiao-Tung University 15

16 Encoder/decoder for green bus coding More simple and effective Avoid forbidden overlap condition (FOC) and forbidden pattern condition (FPC) and reduce forbidden transition condition (FTC) Encoder Decoder National Chiao-Tung University 16

17 Outline Introduction Low power joint bus/error correction coding concept Self-corrected green coding scheme Triplication error correction coding stage Green bus coding stage Simulation Results Conclusions National Chiao-Tung University 17

18 Energy reduction to un-coded code Simulation Condition : UMC 90nm CMOS technology The length of wires is set as 0.8mm of metal-4 with minimum width and spacing of 0.2um. Energy Reduction to uncoded bus (%) λ = C C X L National Chiao-Tung University 18

19 Voltage of specific error correction coding (k=8) 2 3 Ptriplication 3kε 2kε Voltage (V) National Chiao-Tung University 19

20 Voltage of specific error correction coding (k=32) 2 3 Ptriplication 3kε 2kε Voltage (V) National Chiao-Tung University 20

21 Summaries of different joint coding codec The proposed self-corrected green coding scheme has the smallest area overhead of codec. For the smallest delay, it is more suitable for the networkon-chip architecture. National Chiao-Tung University 21

22 Summaries of different joint coding schemes Except for s-c green coding, DAP and DSAP, the critical delay of codec depends on the decoder, others are not appropriate for integrating into switch fabrics because of long critical delay. National Chiao-Tung University 22

23 Outline Introduction Low power joint bus/error correction coding concept Self-corrected green coding scheme Triplication error correction coding stage Green bus coding stage Simulation Results Conclusions National Chiao-Tung University 23

24 Conclusions Self-corrected green coding scheme is presented to construct reliable and low power interconnection for NoC. Triplication error correction stage Rapid correction ability to reduce the physical transfer unit size Self-corrected in bit level Green bus coding stage More energy reduction by a joint triplication bus power model Based on UMC 90um CMOS technology, compared to uncoded code, self-corrected green coding can achieve 34.4% and 67.3% energy saving at voltage 1.2v and 0.84v, respectively. National Chiao-Tung University 24

25 Network-on-Chip Symposium, April 2008 Thanks for your attention!!

Coding for Reliable On-Chip Buses: Fundamental Limits and Practical Codes

Coding for Reliable On-Chip Buses: Fundamental Limits and Practical Codes Coding for Reliable On-Chip Buses: Fundamental Limits and Practical Codes Srinivasa R. Sridhara and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at Urbana-Champaign

More information

Energy Reduction through Crosstalk Avoidance Coding in NoC Paradigm

Energy Reduction through Crosstalk Avoidance Coding in NoC Paradigm Energy Reduction through Crosstalk Avoidance Coding in NoC Paradigm Partha Pratim Pande 1, Haibo Zhu 1, Amlan Ganguly 1, Cristian Grecu 2 1 School of Electrical Engineering & Computer Science PO BOX 642752

More information

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Srinivasa R. Sridhara, Arshad Ahmed, and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

TRANSIENT ERROR RESILIENCE IN NETWORK-ON-CHIP COMMUNICATION FABRICS AMLAN GANGULY

TRANSIENT ERROR RESILIENCE IN NETWORK-ON-CHIP COMMUNICATION FABRICS AMLAN GANGULY TRANSIENT ERROR RESILIENCE IN NETWORK-ON-CHIP COMMUNICATION FABRICS By AMLAN GANGULY A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING

More information

Implementation of Memory Less Based Low-Complexity CODECS

Implementation of Memory Less Based Low-Complexity CODECS Implementation of Memory Less Based Low-Complexity CODECS K.Vijayalakshmi, I.V.G Manohar & L. Srinivas Department of Electronics and Communication Engineering, Nalanda Institute Of Engineering And Technology,

More information

Optimization of energy consumption in a NOC link by using novel data encoding technique

Optimization of energy consumption in a NOC link by using novel data encoding technique Optimization of energy consumption in a NOC link by using novel data encoding technique Asha J. 1, Rohith P. 1M.Tech, VLSI design and embedded system, RIT, Hassan, Karnataka, India Assistent professor,

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes Souvik

More information

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP S. Narendra, G. Munirathnam Abstract In this project, a low-power data encoding scheme is proposed. In general, system-on-chip (soc)

More information

CURRENT commercial system-on-chip (SOC) designs

CURRENT commercial system-on-chip (SOC) designs 1626 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 11, NOVEMBER 2009 Crosstalk-Aware Channel Coding Schemes for Energy Efficient and Reliable NOC Interconnects Amlan Ganguly,

More information

ON CHIP COMMUNICATION ARCHITECTURE POWER ESTIMATION IN HIGH FREQUENCY HIGH POWER MODEL

ON CHIP COMMUNICATION ARCHITECTURE POWER ESTIMATION IN HIGH FREQUENCY HIGH POWER MODEL ON CHIP COMMUNICATION ARCHITECTURE POWER ESTIMATION IN HIGH FREQUENCY HIGH POWER MODEL Khalid B. Suliman 1, Rashid A. Saeed and Raed A. Alsaqour 3 1 Department of Electrical and Electronic Engineering,

More information

International Journal of Advance Engineering and Research Development. Multicoding Techniqe to Reduce Power Dissipation in VLSI:A Review

International Journal of Advance Engineering and Research Development. Multicoding Techniqe to Reduce Power Dissipation in VLSI:A Review Scientific Journal of Impact Factor (SJIF): 4.72 International Journal of Advance Engineering and Research Development Volume 4, Issue 12, December -2017 e-issn (O): 2348-4470 p-issn (P): 2348-6406 Multicoding

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

LOW POWER DATA BUS ENCODING & DECODING SCHEMES

LOW POWER DATA BUS ENCODING & DECODING SCHEMES LOW POWER DATA BUS ENCODING & DECODING SCHEMES BY Candy Goyal Isha sood engg_candy@yahoo.co.in ishasood123@gmail.com LOW POWER DATA BUS ENCODING & DECODING SCHEMES Candy Goyal engg_candy@yahoo.co.in, Isha

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Novel implementation of Data Encoding and Decoding Techniques for Reducing Power Consumption in Network-on-Chip

Novel implementation of Data Encoding and Decoding Techniques for Reducing Power Consumption in Network-on-Chip Novel implementation of Data Encoding and Decoding Techniques for Reducing Power Consumption in Network-on-Chip Rathod Shilpa M.Tech, VLSI Design and Embedded Systems, Department of Electronics & CommunicationEngineering,

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE Mei-Wei Chen 1, Ming-Hung Chang 1, Pei-Chen Wu 1, Yi-Ping Kuo 1, Chun-Lin Yang 1, Yuan-Hua Chu 2, and Wei Hwang

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

Variation Tolerant On-Chip Interconnects

Variation Tolerant On-Chip Interconnects Variation Tolerant On-Chip Interconnects ANALOG CIRCUITS AND SIGNAL PROCESSING Series Editors: Mohammed Ismail. The Ohio State University Mohamad Sawan. École Polytechnique de Montréal For further volumes:

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Duo Sheng 1a), Ching-Che Chung 2,andChen-YiLee 1 1 Department of Electronics Engineering & Institute of

More information

Reducing Energy Consumption by Using Data Encoding Techniques in Network-On-Chip

Reducing Energy Consumption by Using Data Encoding Techniques in Network-On-Chip Reducing Energy Consumption by Using Data Encoding Techniques in Network-On-Chip V.Ravi Kishore Reddy M.Tech Student, Department of ECE Vijaya Engineering College, Ammapalem, Thanikella (m), Khammam, Telangana

More information

A High-Throughput Memory-Based VLC Decoder with Codeword Boundary Prediction

A High-Throughput Memory-Based VLC Decoder with Codeword Boundary Prediction 1514 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 10, NO. 8, DECEMBER 2000 A High-Throughput Memory-Based VLC Decoder with Codeword Boundary Prediction Bai-Jue Shieh, Yew-San Lee,

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Lecture Introduction

Lecture Introduction Lecture 1 6.012 Introduction 1. Overview of 6.012 Outline 2. Key conclusions of 6.012 Reading Assignment: Howe and Sodini, Chapter 1 6.012 Electronic Devices and Circuits-Fall 200 Lecture 1 1 Overview

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Lecture 11 Digital Circuits (I) THE INVERTER

Lecture 11 Digital Circuits (I) THE INVERTER Lecture 11 Digital Circuits (I) THE INVERTER Outline Introduction to digital circuits The inverter NMOS inverter with resistor pull-up Reading Assignment: Howe and Sodini; Chapter 5, Sections 5.1-5.3 6.12

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

Bus Serialization for Reducing Power Consumption

Bus Serialization for Reducing Power Consumption Regular Paper Bus Serialization for Reducing Power Consumption Naoya Hatta, 1 Niko Demus Barli, 2 Chitaka Iwama, 3 Luong Dinh Hung, 1 Daisuke Tashiro, 4 Shuichi Sakai 1 and Hidehiko Tanaka 5 On-chip interconnects

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

A Novel Design for Encoding and Decoding to Minimize Crosstalk in VLSI Circuits

A Novel Design for Encoding and Decoding to Minimize Crosstalk in VLSI Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org A Novel Design for Encoding and Decoding to Minimize Crosstalk in VLSI Circuits

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Improved 32-bit Conditional Sum Adder for Low-Power High-Speed Applications

Improved 32-bit Conditional Sum Adder for Low-Power High-Speed Applications JOURNAL OF INFORMATION CIENCE AND ENGINEERING 22, 975-989 (26) hort Paper Improved 32-bit Conditional um Adder for Low-Power High-peed Applications KUO-HING CHENG AND HUN-WEN CHENG + Department of Electrical

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Communications Theory and Engineering

Communications Theory and Engineering Communications Theory and Engineering Master's Degree in Electronic Engineering Sapienza University of Rome A.A. 2018-2019 Channel Coding The channel encoder Source bits Channel encoder Coded bits Pulse

More information

Lecture 11 Circuits numériques (I) L'inverseur

Lecture 11 Circuits numériques (I) L'inverseur Lecture 11 Circuits numériques (I) L'inverseur Outline Introduction to digital circuits The inverter NMOS inverter with resistor pull-up 6.12 Spring 24 Lecture 11 1 1. Introduction to digital circuits:

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Lecture 17 Components Principles of Error Control Borivoje Nikolic March 16, 2004.

Lecture 17 Components Principles of Error Control Borivoje Nikolic March 16, 2004. EE29C - Spring 24 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 17 Components Principles of Error Control Borivoje Nikolic March 16, 24. Announcements Project phase 1 is posted

More information

Low Complexity Cross Parity Codes for Multiple and Random Bit Error Correction

Low Complexity Cross Parity Codes for Multiple and Random Bit Error Correction 3/18/2012 Low Complexity Cross Parity Codes for Multiple and Random Bit Error Correction M. Poolakkaparambil 1, J. Mathew 2, A. Jabir 1, & S. P. Mohanty 3 Oxford Brookes University 1, University of Bristol

More information

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 70-76 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org A FPGA Implementation of Power

More information

ENERGY EFFICIENT RELAY SELECTION SCHEMES FOR COOPERATIVE UNIFORMLY DISTRIBUTED WIRELESS SENSOR NETWORKS

ENERGY EFFICIENT RELAY SELECTION SCHEMES FOR COOPERATIVE UNIFORMLY DISTRIBUTED WIRELESS SENSOR NETWORKS ENERGY EFFICIENT RELAY SELECTION SCHEMES FOR COOPERATIVE UNIFORMLY DISTRIBUTED WIRELESS SENSOR NETWORKS WAFIC W. ALAMEDDINE A THESIS IN THE DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING PRESENTED IN

More information

On-Chip Signaling Techniques for High-Speed SerDes Transceivers

On-Chip Signaling Techniques for High-Speed SerDes Transceivers The American University in Cairo School of Science and Engineering On-Chip Signaling Techniques for High-Speed SerDes Transceivers A Thesis submitted to The Department of Electronics Engineering In Partial

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

Design and implementation of readout circuit on glass substrate with digital correction for touch-panel applications

Design and implementation of readout circuit on glass substrate with digital correction for touch-panel applications Design and implementation of readout circuit on glass substrate with digital correction for touch-panel applications Tzu-Ming Wang (SID Student Member) Ming-Dou Ker Abstract A readout circuit on glass

More information

A 2-bit/step SAR ADC structure with one radix-4 DAC

A 2-bit/step SAR ADC structure with one radix-4 DAC A 2-bit/step SAR ADC structure with one radix-4 DAC M. H. M. Larijani and M. B. Ghaznavi-Ghoushchi a) School of Engineering, Shahed University, Tehran, Iran a) ghaznavi@shahed.ac.ir Abstract: In this letter,

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information

Ultra-Wideband Antenna Simulations. Stanley Wang Prof. Robert W. Brodersen January 8, 2002

Ultra-Wideband Antenna Simulations. Stanley Wang Prof. Robert W. Brodersen January 8, 2002 Ultra-Wideband Antenna Simulations Stanley Wang Prof. Robert W. Brodersen January 8, 2002 Outline Antenna Basics Traditional Antenna Design UWB Antenna Design Challenges Tool: Electromagnetic Simulator

More information

Approximating Computation and Data for Energy Efficiency

Approximating Computation and Data for Energy Efficiency Approximating Computation and Data for Energy Efficiency Daniele Jahier Pagliari EDA Group Politecnico di Torino Torino, Italy 1st IWES September 20th, 2016, Pisa, Italy Outline Error Tolerance and Approximate

More information

Glitch Power Reduction for Low Power IC Design

Glitch Power Reduction for Low Power IC Design This document is an author-formatted work. The definitive version for citation appears as: N. Weng, J. S. Yuan, R. F. DeMara, D. Ferguson, and M. Hagedorn, Glitch Power Reduction for Low Power IC Design,

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

Power Reduction Technique in Coefficient Multiplications Through Multiplier Characterization

Power Reduction Technique in Coefficient Multiplications Through Multiplier Characterization Journal of VLSI Signal Processing 38, 101 113, 2004 c 2004 Kluwer Academic Publishers. Manufactured in The Netherlands. Power Reduction Technique in Coefficient Multiplications Through Multiplier Characterization

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

Revision of Lecture Eleven

Revision of Lecture Eleven Revision of Lecture Eleven Previous lecture we have concentrated on carrier recovery for QAM, and modified early-late clock recovery for multilevel signalling as well as star 16QAM scheme Thus we have

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Effect of package parasitics and crosstalk on signal delay

Effect of package parasitics and crosstalk on signal delay Effect of package parasitics and crosstalk on signal delay Francesc Moll and Miquel Roca moll@eel.upc.es miquel.roca@uib.es Electronic Eng. Dpt. Univ. Polit. Catalunya UPC Physics Department Univ. Illes

More information

Reducing Switching Activities Through Data Encoding in Network on Chip

Reducing Switching Activities Through Data Encoding in Network on Chip American-Eurasian Journal of Scientific Research 10 (3): 160-164, 2015 ISSN 1818-6785 IDOSI Publications, 2015 DOI: 10.5829/idosi.aejsr.2015.10.3.22279 Reducing Switching Activities Through Data Encoding

More information

The dynamic power dissipated by a CMOS node is given by the equation:

The dynamic power dissipated by a CMOS node is given by the equation: Introduction: The advancement in technology and proliferation of intelligent devices has seen the rapid transformation of human lives. Embedded devices, with their pervasive reach, are being used more

More information

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Seyab Khan Said Hamdioui Abstract Bias Temperature Instability (BTI) and parameter variations are threats to reliability

More information

Systems. Mary Jane Irwin ( Vijay Narayanan, Mahmut Kandemir, Yuan Xie

Systems. Mary Jane Irwin (  Vijay Narayanan, Mahmut Kandemir, Yuan Xie Designing Reliable, Power-Efficient Systems Mary Jane Irwin (www.cse.psu.edu/~mji) Vijay Narayanan, Mahmut Kandemir, Yuan Xie CSE Embedded and Mobile Computing Center () Penn State University Outline Motivation

More information

Efficient Implementation of Combinational Circuits Using PTL

Efficient Implementation of Combinational Circuits Using PTL Efficient Implementation of Combinational Circuits Using PTL S. Kiruthiga, Assistant Professor, Sri Krishna College of Technology. S. Vaishnavi, Assistant Professor, Sri Krishna College of Technology.

More information

Adaptive communications techniques for the underwater acoustic channel

Adaptive communications techniques for the underwater acoustic channel Adaptive communications techniques for the underwater acoustic channel James A. Ritcey Department of Electrical Engineering, Box 352500 University of Washington, Seattle, WA 98195 Tel: (206) 543-4702,

More information

DOUBLE DATA RATE (DDR) technology is one solution

DOUBLE DATA RATE (DDR) technology is one solution 54 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 2, NO. 6, JUNE 203 All-Digital Fast-Locking Pulsewidth-Control Circuit With Programmable Duty Cycle Jun-Ren Su, Te-Wen Liao, Student

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison Signal Integrity for Gigascale SOC Design Professor Lei He ECE Department University of Wisconsin, Madison he@ece.wisc.edu http://eda.ece.wisc.edu Outline Capacitive noise Technology trends Capacitance

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 8, August 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com A Novel Implementation

More information

Turbo-coding of Coherence Multiplexed Optical PPM CDMA System With Balanced Detection

Turbo-coding of Coherence Multiplexed Optical PPM CDMA System With Balanced Detection American Journal of Applied Sciences 4 (5): 64-68, 007 ISSN 1546-939 007 Science Publications Turbo-coding of Coherence Multiplexed Optical PPM CDMA System With Balanced Detection K. Chitra and V.C. Ravichandran

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Respin by Pin-Block Design and Floorplanning for Package-Board Codesign

Respin by Pin-Block Design and Floorplanning for Package-Board Codesign Fast Flip-Chip Pin-Out esignation Respin by Pin-Block esign and Floorplanning for Package-Board Codesign Ren-Jie Lee, Ming-Fang Lai and Hung-Ming Chen epartment of Electronics Engineering and SoC Research

More information

A Unified View on the Interplay of Scheduling and MIMO Technologies in Wireless Systems

A Unified View on the Interplay of Scheduling and MIMO Technologies in Wireless Systems A Unified View on the Interplay of Scheduling and MIMO Technologies in Wireless Systems Li-Chun Wang and Chiung-Jang Chen National Chiao Tung University, Taiwan 03/08/2004 1 Outline MIMO antenna systems

More information

A sub-1 V nanopower temperature-compensated sub-threshold CMOS voltage reference with 0.065%/V line sensitivity

A sub-1 V nanopower temperature-compensated sub-threshold CMOS voltage reference with 0.065%/V line sensitivity INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS Int. J. Circ. Theor. Appl. (2013) Published online in Wiley Online Library (wileyonlinelibrary.com)..1950 A sub-1 V nanopower temperature-compensated

More information

EE 435/535: Error Correcting Codes Project 1, Fall 2009: Extended Hamming Code. 1 Introduction. 2 Extended Hamming Code: Encoding. 1.

EE 435/535: Error Correcting Codes Project 1, Fall 2009: Extended Hamming Code. 1 Introduction. 2 Extended Hamming Code: Encoding. 1. EE 435/535: Error Correcting Codes Project 1, Fall 2009: Extended Hamming Code Project #1 is due on Tuesday, October 6, 2009, in class. You may turn the project report in early. Late projects are accepted

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

REFERENCES. [1] P. J. van Wijnen, H. R. Claessen, and E. A. Wolsheimer, A new straightforward

REFERENCES. [1] P. J. van Wijnen, H. R. Claessen, and E. A. Wolsheimer, A new straightforward REFERENCES [1] P. J. van Wijnen, H. R. Claessen, and E. A. Wolsheimer, A new straightforward calibration and correction procedure for on-wafer high-frequency S-parameter measurements (45 MHz 18 GHz), in

More information

Dynamic Analog Testing via ATE Digital Test Channels

Dynamic Analog Testing via ATE Digital Test Channels Dynamic nalog Testing via TE Digital Test Channels CC Su, CS Chang, HW Huang, DS Tu, CL Lee+, Jerry CH Lin* Dept of Electrical and Control Engr ational Chiao Tung University Dept of Electronic Engr ational

More information

!!!!!!! KANDOU S INTERFACES! FOR HIGH SPEED SERIAL LINKS! WHITE PAPER! VERSION 1.9! THURSDAY, MAY 17, 2013!!

!!!!!!! KANDOU S INTERFACES! FOR HIGH SPEED SERIAL LINKS! WHITE PAPER! VERSION 1.9! THURSDAY, MAY 17, 2013!! KANDOU S INTERFACES FOR HIGH SPEED SERIAL LINKS WHITE PAPER VERSION 1.9 THURSDAY, MAY 17, 2013 " Summary has developed an important new approach to serial link design that increases the bit rate for a

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Optimized BPSK and QAM Techniques for OFDM Systems

Optimized BPSK and QAM Techniques for OFDM Systems I J C T A, 9(6), 2016, pp. 2759-2766 International Science Press ISSN: 0974-5572 Optimized BPSK and QAM Techniques for OFDM Systems Manikandan J.* and M. Manikandan** ABSTRACT A modulation is a process

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

Ultra Low Power Consumption Military Communication Systems

Ultra Low Power Consumption Military Communication Systems Ultra Low Power Consumption Military Communication Systems Sagara Pandu Assistant Professor, Department of ECE, Gayatri College of Engineering Visakhapatnam-530048. ABSTRACT New military communications

More information

A Low Power Small Area Multi-bit Quantizer with A Capacitor String in Sigma-Delta Modulator

A Low Power Small Area Multi-bit Quantizer with A Capacitor String in Sigma-Delta Modulator A Low Power Small Area Multi-bit uantizer with A Capacitor String in Sigma-Delta Modulator Xuia Wang, Jian Xu, and Xiaobo Wu Abstract An ultra-low power area-efficient fully differential multi-bit quantizer

More information

Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications

Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications Renshen Wang 1, Evangeline Young 2, Ronald Graham 1 and Chung-Kuan Cheng 1 1 University of California San Diego 2 The

More information

A Novel Low Power Optimization for On-Chip Interconnection

A Novel Low Power Optimization for On-Chip Interconnection International Journal of Scientific and Research Publications, Volume 3, Issue 3, March 2013 1 A Novel Low Power Optimization for On-Chip Interconnection B.Ganga Devi*, S.Jayasudha** Department of Electronics

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Nestoras Tzartzanis and Bill Athas nestoras@isiedu, athas@isiedu http://wwwisiedu/acmos Information Sciences Institute

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Accurate CMOS Reference- Regulator Circuits

Accurate CMOS Reference- Regulator Circuits Accurate CMOS eference- egulator Circuits Vishal Gupta Prof. Gabriel incón-mora Georgia Tech Analog and Power IC Design Lab Abstract The schematics of two novel reference-regulator circuits have been presented.

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Research Article Volume 6 Issue No. 4

Research Article Volume 6 Issue No. 4 DOI 10.4010/2016.896 ISSN 2321 3361 2016 IJESC Research Article Volume 6 Issue No. 4 Design of Combinational Circuits by Using Reversible Logic Circuits S.Rambabu Assistant professor Department of E.C.E

More information