Amplitude-Modulation Characteristics of Power-Combining Class-E Amplifier with Finite Choke

Size: px
Start display at page:

Download "Amplitude-Modulation Characteristics of Power-Combining Class-E Amplifier with Finite Choke"

Transcription

1 Amplitude-Modulation Characteristics of Power-Combining Class-E Amplifier with Finite Choke Mury Thian and Vincent Fusco Queen s University Belfast, ECIT Institute Queen s Road, Queen s Island Belfast BT3 9DT United Kingdom P: +44 (0) F: +44 (0) E: m.thian@qub.ac.uk Abstract: Power back-off performances of a new variant power-combining Class-E amplifier under different amplitude-modulation schemes such as continuous wave (CW), envelope elimination and restoration (EER), envelope tracking (ET), and outphasing are for the first time investigated in this paper. Finite dc-feed inductances rather than massive RF chokes as used in the classic single-ended Class-E power amplifier (PA) resulted from the approximate yet effective frequency-domain circuit analysis provide the wherewithal to increase modulation bandwidth up to 80% higher than the classic single-ended Class-E PA. This increase modulation bandwidth is required for the linearity improvement in the EER/ET transmitters. The modified output load network of the power-combining Class-E amplifier adopting threeharmonic terminations technique relaxes the design specifications for the additional filtering block typically required at the output stage of the transmitter chain. Qualitative agreements between simulation and measurement results for all four schemes were achieved where the ET technique was proven superior to the other schemes. When the PA is used within the ET scheme, an increase of average drain efficiency of as high as 40% with respect to the CW excitation was obtained for a multi-carrier input signal with 1dB peak-to-average power ratio. Key words: Amplitude modulation, Class E; envelope elimination and restoration (EER); envelope tracking (ET); high efficiency; outphasing; power amplifier; power combining; transformer; transistor circuits; transmission line. 1

2 1. Introduction Future wireless mobile communications are required to transfer different types of data ranges from image and voice to high-definition videos at ultra high speed. This requires deployment of not only wideband transmitters but also advanced digital modulation techniques with high spectral-efficiency feature such as CDMA. However, these modulation techniques typically have rather stringent requirements for the power amplifier (PA) linearity. On the other hand, high-efficiency amplification is required so as to increase talk time, reduce power dissipation, and improve reliability of the battery-operated wireless handsets. Conventional linear PAs such as Class-A suffer from poor efficiency while non-linear switching-mode PAs such as Class-E [1] only offer high efficiency at peak-envelope power (PEP) at which point linearity is poor. As the Class-E PA is operated at back-off where the linearity is considerably better, the efficiency rapidly drops. Different types of predistortion techniques such as memory polynomial [] and Volterra [3] can be applied to the nonlinear PAs so as to improve their linearity but at the expense of increased system complexity. To arrive at a compromise between linearity and efficiency at high frequencies, classical schemes such as envelope elimination and restoration (EER) [4]-[6], envelope tracking (ET) [7]-[9], and outphasing [10]-[13] can be effectively utilized. In the EER transmitter the amplitude- and phase-modulated input signal is separated into two signals: (1) a constant-amplitude phase-modulated carrier and () an envelope signal. The phase-modulated carrier goes to the non-linear high-efficiency PA such as Class D, E, F while the envelope signal modulates the collector/drain of the PA so that an amplified replica of the input signal can be restored. The ET scheme is similar to the EER technique. The main differences are (i) the PA used is linear and (ii) the RF drive signal contains both amplitude and phase information. Outphasing, also known as LInear amplification using Nonlinear Components (LINC), produces amplitude-modulated signal based on vector summation principle where the output of two PAs driven with signals of different time-varying phases are combined using quarter-wave lines. The analysis in [14] shows that when deployed in the EER/ET transmitter, the conventional Class-E PA generates significantly high intermodulation

3 distortion (IMD) since large RF choke used in the classic Class-E circuit limits the modulation bandwidth. To improve the IMD performance, a small RF choke must be used instead. In order to obtain larger output power from a PA for better signal-receiving ability, larger transistors should be deployed. However, in the classical single-stage Class-E PA, this strategy is critically constrained by the optimum shunt capacitance resulted from the Class-E synthesis since at high frequencies this capacitance is typically smaller than the actual output capacitance of a large device. Push-pull configurations can be adopted to obtain large output power. However, an output transformer is required in order to operate into an unbalanced load. This solution is not very attractive since transformers are bulky and consume a large chip area in MMIC implementations. More critically, it is very challenging to design low loss (high Q- factor) transformers particularly in Si-based technology due to substantial dielectric loss. The Class-E PA topology recently introduced in [15] overcomes the aforementioned problems. It uses small RF chokes (L DC ) useful for the EER and ET schemes and also facilitates an elegant means for power combining into an unbalanced load without the need for bulky transformers so as to obtain large output power that would not be possible from the classic Class-E due to the shunt-capacitance limitation. No experimental validation was presented in [15]. Small RF chokes increases modulation bandwidth ω AM = R DC ω AM (1) LDC R DC VDC VDC = = () P P DC O where R DC is the resistance that the amplifier presents to the dc supply. DC power dissipation P DC is set equal to output power P O in () since 100% dc-to-rf efficiency is expected from the idealized Class-E PA design. Design equations for L DC in the 3

4 single-ended [1] and power-combining [15] Class-E amplifiers are given in Table 1 where k > 30 and γ = It appears that the ratio of 3dB modulation bandwidth and carrier frequency of the power-combining circuit is as high as 86% compared to just 1% in the classical Class-E. Table 1 Design equations for RF choke (L DC ) and modulation bandwidth (ω AM ) Parameter Single-ended Power-combining π k VDC γ VDC L DC ω P ω P ω ω AM o o 1 π k O o γ O The previous theoretical analysis on the power-combining amplifier circuit focuses on the performance of the amplifier with regard to efficiency alone but without considering out-of-band emission restrictions. In order to comply with the standardized out-of-band emission regulations, additional filtering block is typically needed at the output of the final stage. A modified output load network of the powercombining Class-E is described in this paper so as to relax the requirement for the filter in the transmitter chain. Furthermore, it will be demonstrated that for the same output power level and dc supply voltage, the load resistance resulted from the new circuit s synthesis is larger than the classical Class-E circuit. This crucially reduces the loss due to the output matching network and consequently increases the overall circuit efficiency. The aim of this paper is to investigate the amplitude-modulation (AM) characteristics of the modified power-combining Class-E amplifier when operated in the EER, ET and outphasing schemes.. Power-Combining Class-E Amplifier In Class-E mode, the active device (either bipolar transistor or FET) is driven sufficiently hard to mimic a switch rather than a current source as in the conventional linear PAs. For an optimum Class-E operation, the load impedances presented to the transistor are R + jω 0 L at fundamental frequency and open circuit at harmonics [16]. Techniques to combine two or more output of the single-ended Class-E PAs into an 4

5 unbalanced load using quarter-wave (λ/4) transmission lines are illustrated in Fig. 1(a). The optimum Class-E load resistance R [16] is transformed into the system impedance (R α which is typically 50 Ω) by the λ/4 lines. The λ/4 lines in Fig. 1(a) are then replaced by the equivalent hybrid Π-circuits comprised of a transmission line with characteristic impedance Z 01 and electrical length θ 1 (θ 1 < 90 o ) and shunt capacitors C X1, C X. The large RF chokes (RFC) in Fig. 1(a) are substituted by finite dc-feed inductances (L DC ). The series inductance L in the load network is removed by properly assigning its inductive loading to L DC. This results in the circuit in Fig. 1(b). The capacitance C X in Fig. 1(b) can be replaced by the equivalent open-circuit stub TL (Z 0, θ ). This stub together with the series line TL1 (Z 01, θ 1 ) should provide an open-circuit termination at f o as required for Class-E mode. This dictates the electrical lengths of TL1 and TL to be equal to 90 o at f o. The series resonator L S C S in Fig. 1(b) can be removed since its filtering function (i.e. to suppress the dominant second-harmonic signal) has now been taken over by TL1 and TL. In addition, C X1 and L DC in Fig. 1(b) should resonate at f o. This results in the circuit in Fig. 1(c). C b is bypass/dc blocking capacitance. For prescribed output power (P O ), operating frequency (f 0 ), and dc supply voltage (V DC ), the optimal circuit component values of the power-combining Class-E PA are given as follows: P C = π ω (3) C X1 O o VDC = P (4) O ωo γ VDC L DC γ VDC = (5) ω P o O R β 64 γ VDC = (6) π ( π 4) N PO Z = Z 0 = V DC / P O (7) 01 γ where N is the number of single-ended Class-E PA combined. 5

6 (a) (b) (c) Figure 1 (a)-(c) show the circuit evolution from the initial two single-ended Class-E combined using λ/4 lines to the final power-combining Class-E The shunt capacitance C + C X1 in Fig. 1(c) may now be seen as the device output capacitance. When compared to the classic Class-E where the device output capacitance is C, the extra capacitance C X1 present in the new power-combining Class-E circuit suggests that larger transistors (larger output capacitance) can be utilized to permit larger power at the output of individual PAs. Alternatively from (3)- (4), it can be computed that the maximum operating frequency of the conventional 6

7 Class-E PA can be extended up to 67% higher by adopting the power-combining technique explained above. Using (6), the optimum load resistance for, say, a 1.5W 3V power-combining PA with three parallel branches (N = 3) is 48.5Ω, close to the typical load impedance 50Ω. In contrast, the optimum load resistance for the classic Class-E amplifier [16] is as small as 3.5Ω, leading to an increase loss due to the output matching network. 3. Design and Implementation In order to better comply with standardized out-of-band emission regulations, two λ/8 open-circuit stubs (Z 0, θ ) in Fig. 1(c) are modified in such that they can vigorously suppress not only the second- but also the third-harmonic components. The new electrical lengths (θ A, θ B ) are λ/8 and λ/1 at fundamental frequency and the characteristic impedance is given as follows Z o o ( tan30 tan ) 0 0 A Z 0 B = = 0 Z (8) (a) (b) Figure (a) Power-combining Class-E with finite choke and (b) single-ended Class- E with λ/4-line choke. A.4GHz power-combining Class-E PA with two parallel branches (N = ) was designed using MwT-8 GaAs MESFETs based upon Fig. 1(c). Surface-mount technology (SMT) inductors of.nh are used to implement the finite RF choke. Fig. (a) shows the PA fabricated on 7cmx4.7cm, 787µm thick FR4 substrate with a 7

8 dielectric constant of 4.5. Table presents the optimized circuit component values used in the constructed PA which are initially calculated using (3)-(8). Table Optimized circuit component values C + C X1 = 1.5 pf L DC =. nh dc blocking caps: pf TL1 (9 Ω, 4 o ): W = 385 µm L = 805 µm TLA (7 Ω, 41 o ): W = 700 µm L = 7700 µm TLB (7 Ω, 7 o ): W = 700 µm L = 5030 µm 4. Amplitude-Modulation Characterisations In principle, there are four different ways to produce amplitude-modulated signal when using a Class-E amplifier, namely by varying (a) drive signal amplitude as in continuous wave (CW), (b) dc supply voltage as in EER, (c) both drive signal amplitude and dc supply voltage simultaneously as in ET, and (d) phase difference of the two drive signals as in outphasing Input power variation: CW The transistor biases were adjusted for best performance at.4ghz to V DC1 = V DC = 3.V, V GS1 = -1.65V, and V GS = -0.9V. Peak drain efficiency (DE) of 64% and peak power-added efficiency (PAE) of 57% were obtained at 3.5dBm output power with a constant input power of 14dB. Measured second- and thirdharmonic suppression levels at this optimum operating point are, respectively, 50 and 46dBc. The measured DE and PAE of the amplifier in Fig. (a) with power back-off are plotted in Figs. 3(a)-(b) with dc supply voltage set to 3.V. Three discrete operating frequencies of.35,.4 and.5ghz are selected to study the frequency sensitivity of the PA. Similar DE profiles were obtained for all three frequencies. The PEP at 0dB back-off is about 3.5dB. The PAE profile for the.35ghz operation drops faster than the others for output power back-off below -10dB. The PAE for the.5ghz operation at PEP is 5% lower than the.35 and.4ghz operations. At 6dB back-off, instantaneous DE of 35% and PAE of 30% can be achieved. 8

9 (a) (b) Figure 3 Measured (a) DE and (b) PAE versus output power back-off for several operating frequencies by varying input power at V DC = 3.V Figure 4 Measured PAE comparisons of the single-ended Class-E, power-combining Class-E, and single-ended Inverse Class-E at power back-off. The approximate frequency-domain rather than full time-domain circuit synthesis described in sections and 3 leads to the power-combining amplifier working in suboptimal operation rather than in optimal operation as in the standard single-ended Class-E PA. It is therefore necessary to investigate if the standard single-ended Class- E efficiency profile is inherited in the power-combining amplifier reported here. The single-ended Class-E PA reported in [16], Fig. (b), operates at.4ghz and delivers 19.5dBm PEP (i.e. 4dB lower than the power-combining Class-E amplifier) with 60% PAE. It uses the same MwT-8 device. The CW performance at.4ghz of the powercombining amplifier with finite choke is compared in Fig. 4 to that of the singleended counterpart with λ/4-line choke. Similar behavioural profiles are obtained although the power-combining amplifier seems to offer better efficiency at low power 9

10 back-off. As a comparison, the CW back-off performance of the Inverse Class-E PA [17] is also plotted in Fig. 4. The Inverse Class-E PA is attractive since it offers peak switch voltage 0% lower than the Class-E PA. It turns out that as the power reduces, the efficiency of the Inverse Class-E PA degrades at much faster rate than the Class-E PAs. 4.. DC supply voltage variation: EER In the EER scheme, the RF input signal amplitude is constant. The amplitude modulation is obtained by varying the dc supply voltage. Here, a constant 14dBm RF input power is selected since from the measurements in section 4.1, peak PAE of 57% was achieved at this input power level. The dc supply voltage is swept from 1 to 4V with 0.4V step. The PEP at 0dB back-off is 5.5dBm correspond to V DC = 4V. To study the frequency-sensitivity behaviour of the power-combining Class-E amplifier, measurements were carried out for three discrete operating frequencies.35,.4, and.5ghz. Fig. 5(a) demonstrates the ability of the power-combining Class-E PA to maintain high drain efficiency over a wide dynamic range. One may wonder why high efficiency can be conserved within a wide range of V DC value, since the optimum circuit component values (3)-(7) are strongly dependent upon V DC. As a consequence, one may expect that once V DC has been modified from its initial value, the circuit component values need to be re-calculated to maintain the high efficiency. However, the measurement results show that efficiency is high still for a wide range of V DC even though the component values are not adaptively tuned. This can be explained as follows. The circuit component values (3)-(7) are proportional either to P O /V DC or to V DC /P O. On the other hand, from (9)-(1) in [16] it can be easily shown that P O of the Class-E PA is proportional to V DC, confirmed with the measurement results in Fig. 5(b). This implies that in the idealized Class-E operation, a constant 100% dc-to-rf efficiency should theoretically be achieved for any values of dc supply voltage without the need for modifying the optimum load network for each V DC value as long as P O < P 1dB (1dB compression point of the transistor). 10

11 As can be observed from Fig. 5(a), relatively constant high-efficiency profiles for.35 and.5ghz operations can be obtained up to 7.5dB power back-off, above which the efficiency goes up. Deviation from the theory is mainly due to the nonidealities of the transistors used, for instance, the device output capacitance is assumed to be linear in theory whereas in practice its value is dependant on V DC. This, in turn, will alter the impedance that the amplifier presents to the supply voltage (R DC ) and accordingly the dc current. (a) (b) Figure 5 (a) Measured DE versus output power back-off and (b) quadratic behaviour of output power as a function of dc supply voltage for several operating frequencies by varying dc supply voltage from 1 to 4V at P I = 14dBm. Figure 6 Measured PAE versus output power back-off for several operating frequencies by varying dc supply voltage from 1 to 4V at P I = 14dBm 11

12 From inspection of Fig. 6, it can be seen that within 6dB back-off, PAE of better than 45% can be obtained for.35 and.4ghz operations. However, at back-off higher than 6dB, PAE degrades more rapidly. This is because the constant drive power of 14dBm from which the output power is subtracted in the PAE calculation becomes more dominant as output power reduces Input power and DC supply voltage variation: ET For a constant V DC = 3.V, the instantaneous PAE in Fig. 4 degrades substantially as the output power decreases. By operating the PA with reduced V DC values at low P O, the PAE can be improved as illustrated in Fig. 7. The results indicated by the dotted lines are obtained by biasing the PA with a specific V DC value and then sweeping the drive power. This is done individually for each set of V DC values. In order to establish the ET profile (solid line), the peaks of these lines are connected using interpolation. This arrangement suggests that every point on the ET curve corresponds to a pair of V DC and P I values. For example, 50% PAE can be obtained at 4dB power back-off by setting V DC to V and P I to 1dBm. In contrast, from Fig. 3(b), the PAE at the same back-off level is only 40% and this is obtained when V DC = 3.V and P I = 6.3dBm. Figure 7 Measured PAE versus output power at.4ghz by varying input power and dc supply voltage 4.4. Input-phase difference variation: Outphasing 1

13 Two signal generators with the same phase reference were used for the outphasing measurements. Fig. 8 depicts measured output power and dc currents as a function of drive phase difference when the PA was operated at optimum point (V DC = 3.V, f o =.4GHz, P I = 14dBm). The output power of the PA at.3 and.5ghz are also presented in Fig. 8. At full power, i.e., when input signals are in-phase, the gate voltages were adjusted such that the same dc currents were drawn from each individual PA. The output power of the.3 and.4ghz operations are slightly higher than that of.5 GHz. The slope of the output power is relatively flat for phase differences from 0 to 90 o but is getting steeper beyond that point. This importantly means that any phase imbalance of up to 90 o exists between the two branches would not lead to major problems. Figure 8 Measured output power and dc currents versus drive phase difference at optimum operating point (V DC = 3.V, f o =.4GHz, P I = 14dBm) The PA outphasing performance for all three operating frequencies can be observed from Figs. 9(a)-(b). Here, V DC = 3.V and P I = 14dBm were set constant. The PEP at 0dB back-off for.3 and.4ghz operations is 3.5dBm while for.5ghz is dbm. The best DE profile at back-off occurs at.3ghz. PAE curves for.3 and.4ghz operations are quite similar. The effect that the dc supply voltage has on the PA outphasing performance at.3 GHz can be seen from Figs. 9(c)-(d). These results suggest that the DE of the PA 13

14 when operated in outphasing arrangement is insensitive to V DC variation. PEP at 0dB back-off for.5, 3., and 4V operations are, respectively, 1, 3.5, and 5.5dBm (a) (b) (c) (d) Figure 9 Measured DE and PAE versus output power back-off by varying drive phase difference: (a)-(b) for several operating frequencies at V DC = 3.V and (c)-(d) for several dc supply voltages at.3ghz. The input power for (a)-(d) is 14dBm. 5 Comparisons: CW, EER, ET, and Outphasing Measured PAE at.4ghz versus output power back-off under different schemes discussed above are plotted on the same graph in Fig. 10 for comparisons. Here, the PEP at 0dB back-off is aligned for all four schemes, i.e., 3.5dBm. As a consequence, the PAE profile for the EER scheme in Fig. 10 is obtained by sweeping V DC from 1. to 3.V rather than from 1 to 4V as in Fig. 6. It is evident that the PAE of the ET scheme outperforms other schemes. Although the PAE profile of the EER is worse than that obtained for ET, it is still superior to CW up to about 7dB back-off. This 14

15 implies that varying V DC below 1.4V will lead to poor PAE. PAE performance of the outphasing scheme can be improved using Chireix reactive compensator [10]. The ET profile in Fig. 10 is slightly different from that presented in Fig. 7 due to the following reason. For the PA characterizations, RF input signal coming from a signal generator is distributed to the input ports RF IN1 and RF IN, Fig. (a), by a broadband power splitter (1-10GHz). Due to the amplitude imbalance of the splitter (± 0.4dB), drive signal fed to one input port is higher than the other input port. From the experiments, it turns out that best PAE is obtained when the larger input power is applied to RF IN1 rather than RF IN. PAE of the ET scheme plotted in Fig. 7 is slightly worse than that in Fig. 10 since the larger input power is fed to RF IN. Figure 10 Measured PAE at output power back-off under different AM schemes As an independent verification, the PA was simulated in Agilent Advanced Design System (ADS) using the actual transistor large-signal model. The best performance was achieved for.5ghz operation with the gate bias voltage of -V. For the outphasing arrangement, the total available drive power used is 13dBm and the simulated PA operates from a higher dc supply voltage, 3.7V, rather than 3.V used in the measurements resulted in a higher PEP, 6.5dBm. The harmonic-balance simulation results are presented in Fig. 11 with reasonable qualitative agreement with the measurement results in Fig. 10. The discrepancies between measured and simulated results are mainly due to inaccuracy of the device large signal model, fabrication tolerances, and amplitude-phase imbalances of the power splitter. More 15

16 importantly, two transistors used in the actual design are unlikely identical, i.e., have the same I-V and transfer characteristics (as assumed in the simulation environment) even if they are from the same batch. Figure 11 Simulated PAE at output power back-off under different AM schemes The probability density function (PDF) of a particular input signal gives information about the relative amount of time the signal spends at various amplitudes. For example, as described in [18], the probability density function of a multi-carrier input signal, such as CDMA, can be approximated with a Rayleigh function (9) while fullcarrier amplitude modulation by a Gaussian signal generates an RF signal with a Gaussian-AM envelope (10): p( v) = ξ v exp( ξ v ) (9) { ξ ( 0.5) } p( v) = ξ / π exp v (10) where v is the normalized output voltage and PAR /10 ξ = 10 (11) In Fig. 1, Rayleigh and Gaussian-AM probability density functions are illustrated for several peak-to-average power ratio (PAR) values. Recall that for the same amplitude multi-tone signal, the PAR value corresponds to the number of carriers. Modern 16

17 digital modulation techniques which employ many carriers have rather stringent linearity requirements. This can be seen from the Rayleigh distribution in Fig. 1 that for higher PAR values the peak of the PDF occurs at lower v at which point the linearity is better but the efficiency of the amplifier is poor. With knowledge of p(v), average PAE (PAE AVG ) can be computed using PAE AVG PO _ AVG PI _ AVG = (1) P DC _ AVG where P O_AVG, P I_AVG, and P DC_AVG follow 1 = 0 f AVG f ( v) p( v) dv (13) Figure 1 PDF of Rayleigh and Gaussian-AM for several PAR values Average DE and PAE of the PA for the CW and ET cases are computed in MATLAB and presented in Fig. 13. By adopting ET technique, an increase PAE AVG of 17% was obtained for the Rayleigh distribution with PAR = 10dB. 17

18 Figure 13 Average DE and PAE for CW and ET schemes 6 Conclusion The characterized Class-E amplifier topology offers an attractive solution for simple high-efficiency power combining. The power back-off characteristics of the powercombining Class-E amplifier with finite choke have been investigated for CW, EER, ET, and outphasing schemes. Under EER scheme, PAE of better than 45% can be obtained within 6dB back-off for.35 and.4ghz operations. The PA efficiency when operated in outphasing arrangement was shown to be insensitive to dc supply voltage and frequency variation. Qualitative agreement between simulation and measurement results was achieved where the ET technique outperforms other schemes. 7 Acknowledgment The work was supported by the UK Engineering and Physical Sciences Research Council (EPSRC) under Grant EP/E01707X/1 and by the Northern Ireland Department of Education and Learning (DEL) under Strengthening All Island Mobile Wireless Futures programme. 18

19 8 References [1] Sokal, N. O., and Sokal, A. D.: Class-E-A new class of high-efficiency tuned single-ended switching power amplifiers, IEEE J. Solid-State Circuits, 1975, 10, (3), pp [] Morgan, D. R., et. al.: A generalized memory polynomial model for digital predistortion of RF power amplifiers, IEEE Trans. Signal Processing, 006, 54, (10), pp [3] Eun, C., and Powers, E. J.: A new Volterra predistorter based on the indirect learning architecture, IEEE Trans. Signal Processing, 1997, 45, (1), pp [4] Kahn, L. R.: Single-sideband transmission by envelope elimination and restoration, Proc. IRE, 195, 40, (7), pp [5] Kim, I., et. al.: High-efficiency hybrid EER transmitter using optimized power amplifier, IEEE Trans. Microw. Theory Tech., 008, 56, (11), pp [6] Warr, P. A., et. al.: A 60% PAE WCDMA handset transmitter amplifier, IEEE Trans. Microw. Theory Tech., 009, 57, (10), pp [7] Buoli, C., Abbiati, A., and Riccardi, D.: Microwave power amplifier with envelope controlled drain power supply. Proc. 5th European Microw. Conf., Bologna, Italy, Sept. 1995, pp [8] Lopez, J., et. al.: Design of highly efficient wideband RF polar transmitters using the envelope-tracking technique, IEEE J. Solid-State Circuits, 009, 44, (9), pp [9] Jeong, J., et. al.: High-efficiency WCDMA envelope tracking base-station amplifier implemented with GaAs HVHBTs, IEEE J. Solid-State Circuits, 009, 44, (10), pp [10] Chireix, H.: High power outphasing modulation, Proc. IRE, 1935, 3, (11), pp [11] Cox, D. C.: Linear amplification with nonlinear components, IEEE Trans. Commun., 1974,, (1), pp [1] Lee, S., and Nam, S.: A CMOS outphasing power amplifier with integrated single-ended Chireix combiner, IEEE Trans. Circuits Syst. II, 010, 57, (6), pp

20 [13] Qureshi, J. H., et. al.: A 90-W peak power GaN outphasing amplifier with optimum input signal conditioning, IEEE Trans. Microw. Theory Tech., 009, 57, (8), pp [14] Milosevic, D., van der Tang, J., and van Roermund, A.: Intermodulation products in the EER technique applied to Class-E amplifiers. Proc. ISCAS, Vancouver, Canada, May 004, pp [15] Thian, M., and Fusco, V.: Power combining techniques into unbalanced loads for Class-E and Inverse Class-E amplifiers, IET Microw. Antennas Propag., 008,, (6), pp [16] Mury, T., Fusco, V. F., and Cantu, H.:.4 GHz Class-E power amplifier with transmission-line harmonic terminations, IET Microw. Antennas Propag., 007, 1, (), pp [17] Mury, T., and Fusco, V. F.: Inverse Class-E amplifier with transmission-line harmonic suppression, IEEE Trans. Circuits Syst. I, 007, 54, (7), pp [18] Raab, F. H.: Average efficiency of power amplifiers. Proc. RF Technology Expo 86, Anaheim, CA, Dec. 1977, pp

Class E and Class D -1 GaN HEMT Switched-Mode Power Amplifiers

Class E and Class D -1 GaN HEMT Switched-Mode Power Amplifiers Class E and Class D -1 GaN HEMT Switched-Mode Power Amplifiers J. A. GARCÍA *, R. MERLÍN *, M. FERNÁNDEZ *, B. BEDIA *, L. CABRIA *, R. MARANTE *, T. M. MARTÍN-GUERRERO ** *Departamento Ingeniería de Comunicaciones

More information

In modern wireless. A High-Efficiency Transmission-Line GaN HEMT Class E Power Amplifier CLASS E AMPLIFIER. design of a Class E wireless

In modern wireless. A High-Efficiency Transmission-Line GaN HEMT Class E Power Amplifier CLASS E AMPLIFIER. design of a Class E wireless CASS E AMPIFIER From December 009 High Frequency Electronics Copyright 009 Summit Technical Media, C A High-Efficiency Transmission-ine GaN HEMT Class E Power Amplifier By Andrei Grebennikov Bell abs Ireland

More information

Downloaded from edlib.asdf.res.in

Downloaded from edlib.asdf.res.in ASDF India Proceedings of the Intl. Conf. on Innovative trends in Electronics Communication and Applications 2014 242 Design and Implementation of Ultrasonic Transducers Using HV Class-F Power Amplifier

More information

DESIGN OF AN S-BAND TWO-WAY INVERTED ASYM- METRICAL DOHERTY POWER AMPLIFIER FOR LONG TERM EVOLUTION APPLICATIONS

DESIGN OF AN S-BAND TWO-WAY INVERTED ASYM- METRICAL DOHERTY POWER AMPLIFIER FOR LONG TERM EVOLUTION APPLICATIONS Progress In Electromagnetics Research Letters, Vol. 39, 73 80, 2013 DESIGN OF AN S-BAND TWO-WAY INVERTED ASYM- METRICAL DOHERTY POWER AMPLIFIER FOR LONG TERM EVOLUTION APPLICATIONS Hai-Jin Zhou * and Hua

More information

High efficiency linear

High efficiency linear From April 2011 High Frequency Electronics Copyright 2011 Summit Technical Media, LLC An Outphasing Transmitter Using Class-E PAs and Asymmetric Combining: Part 1 By Ramon Beltran, RF Micro Devices; Frederick

More information

Design and simulation of Parallel circuit class E Power amplifier

Design and simulation of Parallel circuit class E Power amplifier International Journal of scientific research and management (IJSRM) Volume 3 Issue 7 Pages 3270-3274 2015 \ Website: www.ijsrm.in ISSN (e): 2321-3418 Design and simulation of Parallel circuit class E Power

More information

High-efficiency class E/F 3 power amplifiers with extended maximum operating frequency

High-efficiency class E/F 3 power amplifiers with extended maximum operating frequency LETTER IEICE Electronics Express, Vol.15, No.12, 1 10 High-efficiency class E/F 3 power amplifiers with extended maximum operating frequency Chang Liu 1, Xiang-Dong Huang 2a), and Qian-Fu Cheng 1 1 School

More information

Effects of Envelope Tracking Technique on an L-band Power Amplifier

Effects of Envelope Tracking Technique on an L-band Power Amplifier Effects of Envelope Tracking Technique on an L-band Power Amplifier Elisa Cipriani, Paolo Colantonio, Franco Giannini, Rocco Giofrè, Luca Piazzon Electronic Engineering Department, University of Roma Tor

More information

Design of an Efficient Single-Stage and 2-Stages Class-E Power Amplifier (2.4GHz) for Internet-of-Things

Design of an Efficient Single-Stage and 2-Stages Class-E Power Amplifier (2.4GHz) for Internet-of-Things Design of an Efficient Single-Stage and 2-Stages Class-E Power Amplifier (2.4GHz) for Internet-of-Things Ayyaz Ali, Syed Waqas Haider Shah, Khalid Iqbal Department of Electrical Engineering, Army Public

More information

A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology

A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology Ch. Anandini 1, Ram Kumar 2, F. A. Talukdar 3 1,2,3 Department of Electronics & Communication Engineering,

More information

1 GHz Current Mode Class-D Power Amplifier in Hybrid Technology Using GaN HEMTs

1 GHz Current Mode Class-D Power Amplifier in Hybrid Technology Using GaN HEMTs ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 11, Number 4, 2008, 319 328 1 GHz Current Mode Class-D Power Amplifier in Hybrid Technology Using GaN HEMTs Pouya AFLAKI, Renato NEGRA, Fadhel

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

Analysis and Synthesis of phemt Class-E Amplifiers with Shunt Inductor including ON-State Active-Device Resistance Effects

Analysis and Synthesis of phemt Class-E Amplifiers with Shunt Inductor including ON-State Active-Device Resistance Effects Analysis and Synthesis of phemt Class-E Amplifiers with Shunt Inductor including ON-State Active-Device Resistance Effects Thian, M., & Fusco, V. (2006). Analysis and Synthesis of phemt Class-E Amplifiers

More information

Linearization of Broadband Microwave Amplifier

Linearization of Broadband Microwave Amplifier SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 11, No. 1, February 2014, 111-120 UDK: 621.396:004.72.057.4 DOI: 10.2298/SJEE131130010D Linearization of Broadband Microwave Amplifier Aleksandra Đorić 1,

More information

A New Topology of Load Network for Class F RF Power Amplifiers

A New Topology of Load Network for Class F RF Power Amplifiers A New Topology of Load Network for Class F RF Firas Mohammed Ali Al-Raie Electrical Engineering Department, University of Technology/Baghdad. Email: 30204@uotechnology.edu.iq Received on:12/1/2016 & Accepted

More information

An RF-input outphasing power amplifier with RF signal decomposition network

An RF-input outphasing power amplifier with RF signal decomposition network An RF-input outphasing power amplifier with RF signal decomposition network The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation

More information

A High Linearity and Efficiency Doherty Power Amplifier for Retrodirective Communication

A High Linearity and Efficiency Doherty Power Amplifier for Retrodirective Communication PIERS ONLINE, VOL. 4, NO. 2, 2008 151 A High Linearity and Efficiency Doherty Power Amplifier for Retrodirective Communication Xiaoqun Chen, Yuchun Guo, and Xiaowei Shi National Key Laboratory of Antennas

More information

Simulations of High Linearity and High Efficiency of Class B Power Amplifiers in GaN HEMT Technology

Simulations of High Linearity and High Efficiency of Class B Power Amplifiers in GaN HEMT Technology Simulations of High Linearity and High Efficiency of Class B Power Amplifiers in GaN HEMT Technology Vamsi Paidi, Shouxuan Xie, Robert Coffie, Umesh K Mishra, Stephen Long, M J W Rodwell Department of

More information

Design of Class F Power Amplifiers Using Cree GaN HEMTs and Microwave Office Software to Optimize Gain, Efficiency, and Stability

Design of Class F Power Amplifiers Using Cree GaN HEMTs and Microwave Office Software to Optimize Gain, Efficiency, and Stability White Paper Design of Class F Power Amplifiers Using Cree GaN HEMTs and Microwave Office Software to Optimize Gain, Efficiency, and Stability Overview This white paper explores the design of power amplifiers

More information

Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation

Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation Mahdi Parvizi a), and Abdolreza Nabavi b) Microelectronics Laboratory, Tarbiat Modares University, Tehran

More information

Linearity Improvement Techniques for Wireless Transmitters: Part 1

Linearity Improvement Techniques for Wireless Transmitters: Part 1 From May 009 High Frequency Electronics Copyright 009 Summit Technical Media, LLC Linearity Improvement Techniques for Wireless Transmitters: art 1 By Andrei Grebennikov Bell Labs Ireland In modern telecommunication

More information

Dual-band LNA Design for Wireless LAN Applications. 2.4 GHz LNA 5 GHz LNA Min Typ Max Min Typ Max

Dual-band LNA Design for Wireless LAN Applications. 2.4 GHz LNA 5 GHz LNA Min Typ Max Min Typ Max Dual-band LNA Design for Wireless LAN Applications White Paper By: Zulfa Hasan-Abrar, Yut H. Chow Introduction Highly integrated, cost-effective RF circuitry is becoming more and more essential to the

More information

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design by Dr. Stephen Long University of California, Santa Barbara It is not easy to design an RFIC mixer. Different, sometimes conflicting,

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

A 1-W GaAs Class-E Power Amplifier with an FBAR Filter Embedded in the Output Network

A 1-W GaAs Class-E Power Amplifier with an FBAR Filter Embedded in the Output Network A 1-W GaAs Class-E Power Amplifier with an FBAR Filter Embedded in the Output Network Kyle Holzer and Jeffrey S. Walling University of Utah PERFIC Lab, Salt Lake City, UT 84112, USA Abstract Integration

More information

Expansion of class-j power amplifiers into inverse mode operation

Expansion of class-j power amplifiers into inverse mode operation Expansion of class-j power amplifiers into inverse mode operation Youngcheol Par a) Dept. of Electronics Eng., Hanu University of Foreign Studies Yongin-si, Kyunggi-do 449 791, Republic of Korea a) ycpar@hufs.ac.r

More information

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS -3GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS Hyohyun Nam and Jung-Dong Park a Division of Electronics and Electrical Engineering, Dongguk University, Seoul E-mail

More information

K-BAND HARMONIC DIELECTRIC RESONATOR OS- CILLATOR USING PARALLEL FEEDBACK STRUC- TURE

K-BAND HARMONIC DIELECTRIC RESONATOR OS- CILLATOR USING PARALLEL FEEDBACK STRUC- TURE Progress In Electromagnetics Research Letters, Vol. 34, 83 90, 2012 K-BAND HARMONIC DIELECTRIC RESONATOR OS- CILLATOR USING PARALLEL FEEDBACK STRUC- TURE Y. C. Du *, Z. X. Tang, B. Zhang, and P. Su School

More information

California Eastern Laboratories

California Eastern Laboratories California Eastern Laboratories AN143 Design of Power Amplifier Using the UPG2118K APPLICATION NOTE I. Introduction Renesas' UPG2118K is a 3-stage 1.5W GaAs MMIC power amplifier that is usable from approximately

More information

WITH mobile communication technologies, such as longterm

WITH mobile communication technologies, such as longterm IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 206 533 A Two-Stage Broadband Fully Integrated CMOS Linear Power Amplifier for LTE Applications Kihyun Kim, Jaeyong Ko,

More information

Inverse Class F Power Amplifier for WiMAX Applications with 74% Efficiency at 2.45 GHz

Inverse Class F Power Amplifier for WiMAX Applications with 74% Efficiency at 2.45 GHz Inverse Class F Power Amplifier for WiMAX Applications with 74% Efficiency at 2.45 GHz F. M. Ghannouchi, and M. M. Ebrahimi iradio Lab., Dept. of Electrical and Computer Eng. Schulich School of Engineering,

More information

High Gain Low Noise Amplifier Design Using Active Feedback

High Gain Low Noise Amplifier Design Using Active Feedback Chapter 6 High Gain Low Noise Amplifier Design Using Active Feedback In the previous two chapters, we have used passive feedback such as capacitor and inductor as feedback. This chapter deals with the

More information

Design of Duplexers for Microwave Communication Systems Using Open-loop Square Microstrip Resonators

Design of Duplexers for Microwave Communication Systems Using Open-loop Square Microstrip Resonators International Journal of Electromagnetics and Applications 2016, 6(1): 7-12 DOI: 10.5923/j.ijea.20160601.02 Design of Duplexers for Microwave Communication Charles U. Ndujiuba 1,*, Samuel N. John 1, Taofeek

More information

Streamlined Design of SiGe Based Power Amplifiers

Streamlined Design of SiGe Based Power Amplifiers ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 13, Number 1, 2010, 22 32 Streamlined Design of SiGe Based Power Amplifiers Mladen BOŽANIĆ1, Saurabh SINHA 1, Alexandru MÜLLER2 1 Department

More information

The following part numbers from this appnote are not recommended for new design. Please call sales

The following part numbers from this appnote are not recommended for new design. Please call sales California Eastern Laboratories APPLICATION NOTE AN1038 A 70-W S-Band Amplifier For MMDS & Wireless Data/Internet Applications Shansong Song and Raymond Basset California Eastern Laboratories, Inc 4590

More information

Push-Pull Class-E Power Amplifier with a Simple Load Network Using an Impedance Matched Transformer

Push-Pull Class-E Power Amplifier with a Simple Load Network Using an Impedance Matched Transformer Proceedings of the International Conference on Electrical, Electronics, Computer Engineering and their Applications, Kuala Lumpur, Malaysia, 214 Push-Pull Class-E Power Amplifier with a Simple Load Network

More information

A Mirror Predistortion Linear Power Amplifier

A Mirror Predistortion Linear Power Amplifier A Mirror Predistortion Linear Power Amplifier Khaled Fayed 1, Amir Zaghloul 2, 3, Amin Ezzeddine 1, and Ho Huang 1 1. AMCOM Communications Inc., Gaithersburg, MD 2. U.S. Army Research Laboratory 3. Virginia

More information

Research About Power Amplifier Efficiency and. Linearity Improvement Techniques. Xiangyong Zhou. Advisor Aydin Ilker Karsilayan

Research About Power Amplifier Efficiency and. Linearity Improvement Techniques. Xiangyong Zhou. Advisor Aydin Ilker Karsilayan Research About Power Amplifier Efficiency and Linearity Improvement Techniques Xiangyong Zhou Advisor Aydin Ilker Karsilayan RF Power Amplifiers are usually used in communication systems to amplify signals

More information

An Area efficient structure for a Dual band Wilkinson power divider with flexible frequency ratios

An Area efficient structure for a Dual band Wilkinson power divider with flexible frequency ratios 1 An Area efficient structure for a Dual band Wilkinson power divider with flexible frequency ratios Jafar Sadique, Under Guidance of Ass. Prof.K.J.Vinoy.E.C.E.Department Abstract In this paper a new design

More information

Introduction to Envelope Tracking. G J Wimpenny Snr Director Technology, Qualcomm UK Ltd

Introduction to Envelope Tracking. G J Wimpenny Snr Director Technology, Qualcomm UK Ltd Introduction to Envelope Tracking G J Wimpenny Snr Director Technology, Qualcomm UK Ltd Envelope Tracking Historical Context EER first proposed by Leonard Kahn in 1952 to improve efficiency of SSB transmitters

More information

Reduced Current Class AB Radio Receiver Stages Using Novel Superlinear Transistors with Parallel NMOS and PMOS Transistors at One GHz

Reduced Current Class AB Radio Receiver Stages Using Novel Superlinear Transistors with Parallel NMOS and PMOS Transistors at One GHz Copyright 2007 IEEE. Published in IEEE SoutheastCon 2007, March 22-25, 2007, Richmond, VA. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising

More information

RF Power Amplifiers for Wireless Communications

RF Power Amplifiers for Wireless Communications RF Power Amplifiers for Wireless Communications Second Edition Steve C. Cripps ARTECH HOUSE BOSTON LONDON artechhouse.com Contents Preface to the Second Edition CHAPTER 1 1.1 1.2 Linear RF Amplifier Theory

More information

H.-W. Wu Department of Computer and Communication Kun Shan University No. 949, Dawan Road, Yongkang City, Tainan County 710, Taiwan

H.-W. Wu Department of Computer and Communication Kun Shan University No. 949, Dawan Road, Yongkang City, Tainan County 710, Taiwan Progress In Electromagnetics Research, Vol. 107, 21 30, 2010 COMPACT MICROSTRIP BANDPASS FILTER WITH MULTISPURIOUS SUPPRESSION H.-W. Wu Department of Computer and Communication Kun Shan University No.

More information

COMPACT BRANCH-LINE COUPLER FOR HARMONIC SUPPRESSION

COMPACT BRANCH-LINE COUPLER FOR HARMONIC SUPPRESSION Progress In Electromagnetics Research C, Vol. 16, 233 239, 2010 COMPACT BRANCH-LINE COUPLER FOR HARMONIC SUPPRESSION J. S. Kim Department of Information and Communications Engineering Kyungsung University

More information

A 2.5 W LDMOS MICROWAVE TOTEM-POLE PUSH- PULL RF POWER AMPLIFIER

A 2.5 W LDMOS MICROWAVE TOTEM-POLE PUSH- PULL RF POWER AMPLIFIER A 2.5 W LDMOS MICROWAVE TOTEM-POLE PUSH- PULL RF POWER AMPLIFIER Gavin T. Watkins Toshiba Research Europe Limited, 32 Queen Square, Bristol, BS1 4ND, UK Gavin.watkins@toshiba-trel.com RF push-pull power

More information

Introduction to CMOS RF Integrated Circuits Design

Introduction to CMOS RF Integrated Circuits Design VII. ower Amplifiers VII-1 Outline Functionality Figures of Merit A Design Classical Design (Class A, B, C) High-Efficiency Design (Class E, F) Matching Network Linearity T/R Switches VII-2 As and TRs

More information

The Design of A 125W L-Band GaN Power Amplifier

The Design of A 125W L-Band GaN Power Amplifier Sheet Code RFi0613 White Paper The Design of A 125W L-Band GaN Power Amplifier This paper describes the design and evaluation of a single stage 125W L-Band GaN Power Amplifier using a low-cost packaged

More information

ANALYSIS OF BROADBAND GAN SWITCH MODE CLASS-E POWER AMPLIFIER

ANALYSIS OF BROADBAND GAN SWITCH MODE CLASS-E POWER AMPLIFIER Progress In Electromagnetics Research Letters, Vol. 38, 151 16, 213 ANALYSIS OF BROADBAND GAN SWITCH MODE CLASS-E POWER AMPLIFIER Ahmed Tanany, Ahmed Sayed *, and Georg Boeck Berlin Institute of Technology,

More information

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 93 CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 4.1 INTRODUCTION Ultra Wide Band (UWB) system is capable of transmitting data over a wide spectrum of frequency bands with low power and high data

More information

A 2.5-GHz asymmetric multilevel outphasing power amplifier in 65-nm CMOS

A 2.5-GHz asymmetric multilevel outphasing power amplifier in 65-nm CMOS A.5-GHz asymmetric multilevel outphasing power amplifier in 65-nm CMOS The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation Godoy,

More information

ARFTG Workshop, Boulder, December 2014

ARFTG Workshop, Boulder, December 2014 ARFTG Workshop, Boulder, December 2014 Design and measurements of high-efficiency PAs with high PAR signals Zoya Popovic, Tibault Reveyrand, David Sardin, Mike Litchfield, Scott Schafer, Andrew Zai Department

More information

The Design of E-band MMIC Amplifiers

The Design of E-band MMIC Amplifiers The Design of E-band MMIC Amplifiers Liam Devlin, Stuart Glynn, Graham Pearson, Andy Dearn * Plextek Ltd, London Road, Great Chesterford, Essex, CB10 1NY, UK; (lmd@plextek.co.uk) Abstract The worldwide

More information

A CMOS LOW VOLTAGE CLASS-E POWER AMPLIFIER FOR UMTS

A CMOS LOW VOLTAGE CLASS-E POWER AMPLIFIER FOR UMTS A CMOS LOW VOLTAGE CLASS-E POWER AMPLIFIER FOR UMTS Alexandru NEGUŢ 1, Roland PFEIFFER 2, Alexandru NICOLIN 3, Mircea BODEA 1, Claudius DAN 1 E-Mail: alex.negut@gmail.com 1 POLITEHNICA University of Bucharest,

More information

LINEARIZED CMOS HIGH EFFECIENCY CLASS-E RF POWER AMPLIFIER

LINEARIZED CMOS HIGH EFFECIENCY CLASS-E RF POWER AMPLIFIER Proceedings of the 5th WSEAS Int. Conf. on Electronics, Hardware, Wireless and Optical Communications, Madrid, Spain, February 5-7, 006 (pp09-3) LINEARIZED CMOS HIGH EFFECIENCY CLASS-E RF POWER AMPLIFIER

More information

TUNED AMPLIFIERS 5.1 Introduction: Coil Losses:

TUNED AMPLIFIERS 5.1 Introduction: Coil Losses: TUNED AMPLIFIERS 5.1 Introduction: To amplify the selective range of frequencies, the resistive load R C is replaced by a tuned circuit. The tuned circuit is capable of amplifying a signal over a narrow

More information

Linearization of Three-Stage Doherty Amplifier

Linearization of Three-Stage Doherty Amplifier Linearization of Three-Stage Doherty Amplifier NATAŠA MALEŠ ILIĆ, ALEKSANDAR ATANASKOVIĆ, BRATISLAV MILOVANOVIĆ Faculty of Electronic Engineering University of Niš, Aleksandra Medvedeva 14, Niš Serbia

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI UNIT III TUNED AMPLIFIERS PART A (2 Marks)

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI UNIT III TUNED AMPLIFIERS PART A (2 Marks) MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI-621213. UNIT III TUNED AMPLIFIERS PART A (2 Marks) 1. What is meant by tuned amplifiers? Tuned amplifiers are amplifiers that are designed to reject a certain

More information

A 3 5 GHz CMOS High Linearity Ultra Wideband Low Noise Amplifier in 0.18µ CMOS

A 3 5 GHz CMOS High Linearity Ultra Wideband Low Noise Amplifier in 0.18µ CMOS Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November -, 6 5 A 5 GHz CMOS High Linearity Ultra Wideband Low Noise Amplifier in.8µ

More information

Design of High PAE Class-E Power Amplifier For Wireless Power Transmission

Design of High PAE Class-E Power Amplifier For Wireless Power Transmission This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.*, No.*, 1 8 Design of High PAE Class-E Power Amplifier

More information

BLUETOOTH devices operate in the MHz

BLUETOOTH devices operate in the MHz INTERNATIONAL JOURNAL OF DESIGN, ANALYSIS AND TOOLS FOR CIRCUITS AND SYSTEMS, VOL. 1, NO. 1, JUNE 2011 22 A Novel VSWR-Protected and Controllable CMOS Class E Power Amplifier for Bluetooth Applications

More information

LINEARIZATION OF SYMMETRICAL AND ASYMMETRICAL TWO-WAY DOHERTY AMPLIFIER. Aleksandar Atanasković, Nataša Maleš-Ilić, Bratislav Milovanović

LINEARIZATION OF SYMMETRICAL AND ASYMMETRICAL TWO-WAY DOHERTY AMPLIFIER. Aleksandar Atanasković, Nataša Maleš-Ilić, Bratislav Milovanović FACTA UNIVERSITATIS Ser: Elec. Energ. Vol. 25, N o 2, August 2012, pp. 161-170 DOI: 10.2298/FUEE1202161A LINEARIZATION OF SYMMETRICAL AND ASYMMETRICAL TWO-WAY DOHERTY AMPLIFIER Aleksandar Atanasković,

More information

Class E/F Amplifiers

Class E/F Amplifiers Class E/F Amplifiers Normalized Output Power It s easy to show that for Class A/B/C amplifiers, the efficiency and output power are given by: It s useful to normalize the output power versus the product

More information

A BROADBAND QUADRATURE HYBRID USING IM- PROVED WIDEBAND SCHIFFMAN PHASE SHIFTER

A BROADBAND QUADRATURE HYBRID USING IM- PROVED WIDEBAND SCHIFFMAN PHASE SHIFTER Progress In Electromagnetics Research C, Vol. 11, 229 236, 2009 A BROADBAND QUADRATURE HYBRID USING IM- PROVED WIDEBAND SCHIFFMAN PHASE SHIFTER E. Jafari, F. Hodjatkashani, and R. Rezaiesarlak Department

More information

A Volterra Series Approach for the Design of Low-Voltage CG-CS Active Baluns

A Volterra Series Approach for the Design of Low-Voltage CG-CS Active Baluns A Volterra Series Approach for the Design of Low-Voltage CG-CS Active Baluns Shan He and Carlos E. Saavedra Gigahertz Integrated Circuits Group Department of Electrical and Computer Engineering Queen s

More information

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Jaehyuk Yoon* (corresponding author) School of Electronic Engineering, College of Information Technology,

More information

DESIGN AND SIMULATION OF A GaAs HBT POWER AMPLIFIER FOR WIDEBAND CDMA WIRELESS SYSTEM

DESIGN AND SIMULATION OF A GaAs HBT POWER AMPLIFIER FOR WIDEBAND CDMA WIRELESS SYSTEM M. S. Alam, O. Farooq, and Izharuddin and G. A. Armstrong DESIGN AND SIMULATION OF A GaAs HBT POWER AMPLIFIER FOR WIDEBAND CDMA WIRELESS SYSTEM M. S. Alam, O. Farooq, Izharuddin Department of Electronics

More information

HIGH-GAIN CMOS LOW NOISE AMPLIFIER FOR ULTRA WIDE-BAND WIRELESS RECEIVER

HIGH-GAIN CMOS LOW NOISE AMPLIFIER FOR ULTRA WIDE-BAND WIRELESS RECEIVER Progress In Electromagnetics Research C, Vol. 7, 183 191, 2009 HIGH-GAIN CMOS LOW NOISE AMPLIFIER FOR ULTRA WIDE-BAND WIRELESS RECEIVER A. Dorafshan and M. Soleimani Electrical Engineering Department Iran

More information

Leveraging High-Accuracy Models to Achieve First Pass Success in Power Amplifier Design

Leveraging High-Accuracy Models to Achieve First Pass Success in Power Amplifier Design Application Note Leveraging High-Accuracy Models to Achieve First Pass Success in Power Amplifier Design Overview Nonlinear transistor models enable designers to concurrently optimize gain, power, efficiency,

More information

High Efficiency Classes of RF Amplifiers

High Efficiency Classes of RF Amplifiers Rok / Year: Svazek / Volume: Číslo / Number: Jazyk / Language 2018 20 1 EN High Efficiency Classes of RF Amplifiers - Erik Herceg, Tomáš Urbanec urbanec@feec.vutbr.cz, herceg@feec.vutbr.cz Faculty of Electrical

More information

Analyzing Device Behavior at the Current Generator Plane of an Envelope Tracking Power Amplifier in a High Efficiency Mode

Analyzing Device Behavior at the Current Generator Plane of an Envelope Tracking Power Amplifier in a High Efficiency Mode Analyzing Device Behavior at the Current Generator Plane of an Envelope Tracking Power Amplifier in a High Efficiency Mode Z. Mokhti, P.J. Tasker and J. Lees Centre for High Frequency Engineering, Cardiff

More information

Physics of Amplifier Efficiency Earl McCune, CTO Eridan Communications

Physics of Amplifier Efficiency Earl McCune, CTO Eridan Communications Physics of Amplifier Efficiency Earl McCune, CTO Eridan Communications The sinusoidal waveform used in radio communications is not an arbitrary choice, but is a consequence from Maxwell s Equations of

More information

Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications

Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications Armindo António Barão da Silva Pontes Abstract This paper presents the design and simulations of

More information

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT ABSTRACT: This paper describes the design of a high-efficiency energy harvesting

More information

A Broadband High-Efficiency Rectifier Based on Two-Level Impedance Match Network

A Broadband High-Efficiency Rectifier Based on Two-Level Impedance Match Network Progress In Electromagnetics Research Letters, Vol. 72, 91 97, 2018 A Broadband High-Efficiency Rectifier Based on Two-Level Impedance Match Network Ling-Feng Li 1, Xue-Xia Yang 1, 2, *,ander-jialiu 1

More information

BER, MER Analysis of High Power Amplifier designed with LDMOS

BER, MER Analysis of High Power Amplifier designed with LDMOS International Journal of Advances in Electrical and Electronics Engineering 284 Available online at www.ijaeee.com & www.sestindia.org/volume-ijaeee/ ISSN: 2319-1112 BER, MER Analysis of High Power Amplifier

More information

RF CMOS Power Amplifiers for Mobile Terminals

RF CMOS Power Amplifiers for Mobile Terminals JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.9, NO.4, DECEMBER, 2009 257 RF CMOS Power Amplifiers for Mobile Terminals Ki Yong Son, Bonhoon Koo, Yumi Lee, Hongtak Lee, and Songcheol Hong Abstract

More information

Title: New High Efficiency Intermodulation Cancellation Technique for Single Stage Amplifiers.

Title: New High Efficiency Intermodulation Cancellation Technique for Single Stage Amplifiers. Title: New High Efficiency Intermodulation Cancellation Technique for Single Stage Amplifiers. By: Ray Gutierrez Micronda LLC email: ray@micronda.com February 12, 2008. Introduction: This article provides

More information

Evaluation of High Efficiency PAs for use in

Evaluation of High Efficiency PAs for use in CENTRE Evaluation of High Efficiency PAs for use in Supply- and Load-Modulation Transmitters Christian Fager, Hossein Mashad Nemati, Ulf Gustavsson,,* Rik Jos, and Herbert Zirath GigaHertz centre Chalmers

More information

High Power Two- Stage Class-AB/J Power Amplifier with High Gain and

High Power Two- Stage Class-AB/J Power Amplifier with High Gain and MPRA Munich Personal RePEc Archive High Power Two- Stage Class-AB/J Power Amplifier with High Gain and Efficiency Fatemeh Rahmani and Farhad Razaghian and Alireza Kashaninia Department of Electronics,

More information

Noise Reduction in Transistor Oscillators: Part 3 Noise Shifting Techniques. cross-coupled. over other topolo-

Noise Reduction in Transistor Oscillators: Part 3 Noise Shifting Techniques. cross-coupled. over other topolo- From July 2005 High Frequency Electronics Copyright 2005 Summit Technical Media Noise Reduction in Transistor Oscillators: Part 3 Noise Shifting Techniques By Andrei Grebennikov M/A-COM Eurotec Figure

More information

A Simplified Extension of X-parameters to Describe Memory Effects for Wideband Modulated Signals

A Simplified Extension of X-parameters to Describe Memory Effects for Wideband Modulated Signals Jan Verspecht bvba Mechelstraat 17 B-1745 Opwijk Belgium email: contact@janverspecht.com web: http://www.janverspecht.com A Simplified Extension of X-parameters to Describe Memory Effects for Wideband

More information

An Outphasing Transmitter Using Class-E PAs and Asymmetric Combining: Part 2

An Outphasing Transmitter Using Class-E PAs and Asymmetric Combining: Part 2 From May 2011 High Frequency Electronics Copyright 2011 Summit Technical Media, LLC An Outphasing Transmitter Using Class-E PAs and Asymmetric Combining: Part 2 By Ramon Beltran, RF Micro Devices; Frederick

More information

Passive Intermodulation in Distributed Circuits with Cascaded Discrete Nonlinearities

Passive Intermodulation in Distributed Circuits with Cascaded Discrete Nonlinearities Forum for Electromagnetic Research Methods and Application Technologies (FERMAT) Passive Intermodulation in Distributed Circuits with Cascaded Discrete Nonlinearities Dmitry S. Kozlov, Alexey P. Shitvov

More information

Highly Linear GaN Class AB Power Amplifier Design

Highly Linear GaN Class AB Power Amplifier Design 1 Highly Linear GaN Class AB Power Amplifier Design Pedro Miguel Cabral, José Carlos Pedro and Nuno Borges Carvalho Instituto de Telecomunicações Universidade de Aveiro, Campus Universitário de Santiago

More information

ATF High Intercept Low Noise Amplifier for the MHz PCS Band using the Enhancement Mode PHEMT

ATF High Intercept Low Noise Amplifier for the MHz PCS Band using the Enhancement Mode PHEMT ATF-54143 High Intercept Low Noise Amplifier for the 185 191 MHz PCS Band using the Enhancement Mode PHEMT Application Note 1222 Introduction Avago Technologies ATF-54143 is a low noise enhancement mode

More information

A GHz Highly Linear Broadband Power Amplifier for LTE-A Application

A GHz Highly Linear Broadband Power Amplifier for LTE-A Application Progress In Electromagnetics Research C, Vol. 66, 47 54, 2016 A 1.8 2.8 GHz Highly Linear Broadband Power Amplifier for LTE-A Application Chun-Qing Chen, Ming-Li Hao, Zhi-Qiang Li, Ze-Bao Du, and Hao Yang

More information

i. At the start-up of oscillation there is an excess negative resistance (-R)

i. At the start-up of oscillation there is an excess negative resistance (-R) OSCILLATORS Andrew Dearn * Introduction The designers of monolithic or integrated oscillators usually have the available process dictated to them by overall system requirements such as frequency of operation

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

Design technique of broadband CMOS LNA for DC 11 GHz SDR

Design technique of broadband CMOS LNA for DC 11 GHz SDR Design technique of broadband CMOS LNA for DC 11 GHz SDR Anh Tuan Phan a) and Ronan Farrell Institute of Microelectronics and Wireless Systems, National University of Ireland Maynooth, Maynooth,Co. Kildare,

More information

Termination Insensitive Mixers By Howard Hausman President/CEO, MITEQ, Inc. 100 Davids Drive Hauppauge, NY

Termination Insensitive Mixers By Howard Hausman President/CEO, MITEQ, Inc. 100 Davids Drive Hauppauge, NY Termination Insensitive Mixers By Howard Hausman President/CEO, MITEQ, Inc. 100 Davids Drive Hauppauge, NY 11788 hhausman@miteq.com Abstract Microwave mixers are non-linear devices that are used to translate

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

Design of A Wideband Active Differential Balun by HMIC

Design of A Wideband Active Differential Balun by HMIC Design of A Wideband Active Differential Balun by HMIC Chaoyi Li 1, a and Xiaofei Guo 2, b 1School of Electronics Engineering, Chongqing University of Posts and Telecommunications, Chongqing 400065, China;

More information

A NOVEL DUAL-BAND BANDPASS FILTER USING GENERALIZED TRISECTION STEPPED IMPEDANCE RESONATOR WITH IMPROVED OUT-OF-BAND PER- FORMANCE

A NOVEL DUAL-BAND BANDPASS FILTER USING GENERALIZED TRISECTION STEPPED IMPEDANCE RESONATOR WITH IMPROVED OUT-OF-BAND PER- FORMANCE Progress In Electromagnetics Research Letters, Vol. 21, 31 40, 2011 A NOVEL DUAL-BAND BANDPASS FILTER USING GENERALIZED TRISECTION STEPPED IMPEDANCE RESONATOR WITH IMPROVED OUT-OF-BAND PER- FORMANCE X.

More information

Application Note 5057

Application Note 5057 A 1 MHz to MHz Low Noise Feedback Amplifier using ATF-4143 Application Note 7 Introduction In the last few years the leading technology in the area of low noise amplifier design has been gallium arsenide

More information

LINEARITY IMPROVEMENT OF CASCODE CMOS LNA USING A DIODE CONNECTED NMOS TRANSISTOR WITH A PARALLEL RC CIRCUIT

LINEARITY IMPROVEMENT OF CASCODE CMOS LNA USING A DIODE CONNECTED NMOS TRANSISTOR WITH A PARALLEL RC CIRCUIT Progress In Electromagnetics Research C, Vol. 17, 29 38, 2010 LINEARITY IMPROVEMENT OF CASCODE CMOS LNA USING A DIODE CONNECTED NMOS TRANSISTOR WITH A PARALLEL RC CIRCUIT C.-P. Chang, W.-C. Chien, C.-C.

More information

Efficiency Enhancement of CDMA Power Amplifiers in Mobile Handsets Using Dynamic Supplies. Georgia Tech Analog Consortium Presentation

Efficiency Enhancement of CDMA Power Amplifiers in Mobile Handsets Using Dynamic Supplies. Georgia Tech Analog Consortium Presentation Efficiency Enhancement of CDMA Power Amplifiers in Mobile Handsets Using Dynamic Supplies Biranchinath Sahu Advisor: Prof. Gabriel A. Rincón-Mora Analog Integrated Circuits Laboratory School of Electrical

More information

Design of a Broadband HEMT Mixer for UWB Applications

Design of a Broadband HEMT Mixer for UWB Applications Indian Journal of Science and Technology, Vol 9(26), DOI: 10.17485/ijst/2016/v9i26/97253, July 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design of a Broadband HEMT Mixer for UWB Applications

More information

LF to 4 GHz High Linearity Y-Mixer ADL5350

LF to 4 GHz High Linearity Y-Mixer ADL5350 LF to GHz High Linearity Y-Mixer ADL535 FEATURES Broadband radio frequency (RF), intermediate frequency (IF), and local oscillator (LO) ports Conversion loss:. db Noise figure:.5 db High input IP3: 25

More information

Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI

Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI Maxim > Design Support > Technical Documents > Application Notes > Wireless and RF > APP 4929 Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI APPLICATION NOTE 4929 Adapting

More information

A COMPACT WIDEBAND MATCHING 0.18-µM CMOS UWB LOW-NOISE AMPLIFIER USING ACTIVE FEED- BACK TECHNIQUE

A COMPACT WIDEBAND MATCHING 0.18-µM CMOS UWB LOW-NOISE AMPLIFIER USING ACTIVE FEED- BACK TECHNIQUE Progress In Electromagnetics Research C, Vol. 16, 161 169, 2010 A COMPACT WIDEBAND MATCHING 0.18-µM CMOS UWB LOW-NOISE AMPLIFIER USING ACTIVE FEED- BACK TECHNIQUE J.-Y. Li, W.-J. Lin, and M.-P. Houng Department

More information