PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source

Size: px
Start display at page:

Download "PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source"

Transcription

1 PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Performance of one hundred watt HVM LPP-EUV source Hakaru Mizoguchi, Hiroaki Nakarai, Tamotsu Abe, Krzysztof M Nowak, Yasufumi Kawasuji, et al.

2 Performance of one hundred watt HVM LPP-EUV Source Hakaru Mizoguchi, Hiroaki Nakarai, Tamotsu Abe, Krzysztof M Nowak, Yasufumi Kawasuji, Hiroshi Tanaka, Yukio Watanabe, Tsukasa Hori, Takeshi Kodama, Yutaka Shiraishi, Tatsuya Yanagida, Georg Soumagne, Tsuyoshi Yamada, Taku Yamazaki, Shinji Okazaki and Takashi Saitou Gigaphoton Inc. Hiratsuka facility: Shinomiya Hiratsuka Kanagawa, , JAPAN ABSTRACT We have been developing CO 2 -Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO 2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM: GL200E. This data means 250W EUV power will be able to realize around 20kW level pulsed CO 2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO 2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO 2 laser power by the end of Q2 of Keywords: EUV light source, 13.5nm, LPP, CO 2 laser, Sn, Lithography, HVM 1. INTRODUCTION Extreme ultraviolet (EUV) light source has been being developed together with a scanning exposure tool. As the alpha-tool with 10 W EUV light source, ASML shipped alpha-demo tool in ) and Nikon shipped EUV-1 in ) The alpha-demo-tool of ASML was NXE-3100 in the beginning of 2011 with 100 W EUV light source. 3)4) The requirement of the EUV exposure tool development is now in the beta-tool (for high volume manufacturing (HVM)) stage. Several machines are already shipped in The required EUV power is 250 W clean power (after purifying infrared (IR) and deep ultra violet (DUV) spectra) at intermediate focus (IF). Unfortunately demonstrated power level is still around 50W. Since 2002, we have been developing the carbon dioxide (CO 2 ) laser produced thin (Sn) plasma (CO 2 -Sn-LPP) EUV light source which is the most promising solution as the 13.5 nm high power (>200 W) light source for HVM EUV lithography (EUVL). 5)6)7) We have chosen the LPP-EUV method because of its high efficiency, power scalability, and spatial freedom around plasma. Our group has proposed several unique original technologies. The theoretical 8) and experimental 9) data have clearly demonstrated, combination of CO 2 laser and Sn plasma realize high conversion efficiency (CE) from driver laser pulse energy to 13.5nm EUV 2% in-band energy. In 2012 we have demonstrated this experiment shoed the advantage of combining a laser beam at a wavelength of the CO 2 laser system with Sn plasma to achieve high CE>4.7% (in maximum) from driver laser pulse energy to EUV in-band energy 1) in small size (2Hz) experimental device. We have been developing extension of high CE operation condition at 100kHz range, technical challenge are very stable droplet generation, very stable laser beam performance and high accuracy shooting control. Simultaneously the other Extreme Ultraviolet (EUV) Lithography VI, edited by Obert R. Wood II, Eric M. Panning, Proc. of SPIE Vol. 9422, 94220C 2015 SPIE CCC code: X/15/$18 doi: / Proc. of SPIE Vol C-1

3 challenges include the requirements for high average power, and superior beam quality of CO 2 laser 10) which is based on commercial high average power cw-co 2 amplifiers. We have finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi electric cooperation 2) 3). Fig.1 shows recent history of our progress. Last year we reported 43W operation data in this conference 19), 92W operation data in EUVL workshop 20). In this paper we introduce progress of each key-components technology and update the system operation data. In October 2014, we achieved 118W with 60kHz, 70% duty cycle operation 21). The latest improvements are reported at more than one hundred watt stable operation under 4% CE with 20 micron droplet and magnetic mitigation. R t$ a g o 1-8W (2013.2) 'st A EUV power status 250W Pilot target 4_ 1 I Consistent results have been demonstrated for the last 9 quarters W Next target 4-118W ( ) A F 92W (2014.6) 1 I I 43W (2014.2) 4-15W (2013.8) GPI development Fig.1 Progress of EUV power ( Gigaphoton development) 2. LPP EUV LIGHT SOURCE CONCEPT AND COMPONENT TECHNOLOGIES Sn droplet target supply,- Plasma guiding / magnet IF Collector mirror Fig. 2 The concept of Gigaphoton HVM EUV light source At first step Sn droplet target is irradiated with pre-pulse laser. The Sn droplet is crushed to sub-micron mists. The mists are expanded in time. At second step after certain delay time the mists cloud is expanded and heated by pulsed CO 2 laser beam. The cloud is converted to high temperature plasma. Sn ions have several number of charges. During recombination process Sn plasma emits 13.5nm EUV light. Most of the Sn ions can be trapped by the Proc. of SPIE Vol C-2

4 magnetic field by Larmor movement. To prevent the collector mirror from being contaminated, Sn plasma needs to be trapped before being deposited on the collector mirror. Residues of the plasma after emitting EUV light are eventually scattered inside the vessel. To enhance EUV energy and to maximize Sn debris mitigation, number of Sn ions should be maximized in these laser heating processes. 2.1 Pre-pulse technology 11)12)13) The Sn fragments are generated after the pre-pulse irradiation. The diameter of the fragments reaches less than few micrometers at the maximum. The Sn fragments are measured by a shadowgraph method with a few nanosecond pulsed back illuminator and a CCD camera with a high-resolution telescope. Fig. 3 shows the shadowgraphs of the fragments after the pre-pulse laser irradiation on the 20 µm diameter droplet. The droplet is irradiated with the pre-pulse laser from left hand side in the image. to opposite ofef pre guise plasma expansion. Liquid de formation speed ' - towms (Same speed( Fig.3 Shadowgraph of fragments after pre-pulse irradiation with 10ns pulse and 10ps pulse, and modeling. In case of 10ns pre-pulse laser irradiation, the cloud fragments are moved to the opposite side while expanding in diameter. On the other hand in case of 10ps pre-pulse irradiation, cloud of fragments are expanded all of direction. This phenomena is explainable with difference of pre-pulse expansion mechanism of ns-pulse and ps-pulse. The mechanism of difference is shown in Fig.3. CO2 pulse enegy vs. EUV -CE Pre-pulse laser X10 ps X10 ns CO2 laser pulse energy (mj) Fig.4 Conversion efficiency dependence between 10ns and 10ps pre-pulse Proc. of SPIE Vol C-3

5 The pre-pulse laser condition is a key parameter for obtaining higher CE. The CE reached 3.3 % with the 20 µm droplet by optimizing the 10ns pre-pulse laser conditions. On the other hand, the CE reached 4.7 % with the 20 µm droplet by optimizing the 10ps pre-pulse laser conditions (Fig.4). This high CE technology enables 250W EUV source with 20kW CO 2 laser. EUV light is emitted from the Sn plasma, which is mainly composed of Sn ions. Therefore ionization rate is essential parameter to obtain higher CE. The distribution of the Sn neutral atom density after the pre-pulse laser irradiation in a certain magnetic field were observed with the Laser Induced Fluorescence (LIF) method. The Sn atoms are excited by the transition of 5p 2 3 P 0 6s 3 P o 1 (286.3 nm). The fluorescence from the transition of 5p 2 3 P 2 6s 3 P o 1 (317.5 nm) is observed with an image intensified CCD camera through a band-pass filter. The calculated ionization ratio vs. CO 2 laser energy is shown in Fig.5. Also CE vs. CO 2 laser energy is shown in Fig.6. In both figure pulse duration of pre-pulse are experimental parameter respectively. In case of 10ns pre-pulse Ionization ratio changes with CO 2 laser pulse energy, as shown in Fig.5. This data indicates that when CO 2 laser energy is above a certain energy level, almost all the Sn atoms in the Sn droplet are ionized. On the other hand, in case of 10ps pre-pulse ionization rate is very high even in case of low CO 2 pulse energy. This is a very good indication, because this means that Sn ionization rate keeps high level even under low CO 2 irradiation energy. The debris mitigation system also works under low power operation. loniation performance 1-z source data CE performance 100% 80% Z\ N ß 60% l0 'E 40% 0 20% Proto performance Proto performance 0% CO2 pulse energy on droplet Itns -pulse laser -1.-ps -pulse laser Fig.5 Ionization ratio vs. CO 2 laser energy CO2 pulse energy (mj) -- ns -pulse laser -a-- ps -pulse laser 1 Fig.6 CE vs. CO 2 laser energy 2.2 Droplet generation and Magnetic mitigation technology Fig.7 Schematic of collector mirror and mitigation system Proc. of SPIE Vol C-4

6 Our Sn debris mitigation concept with the magnetic field is shown in Fig.7. Because EUV light is emitted from the Sn plasma, which is mainly composed of Sn ions and electron, almost all the Sn ions can be trapped in the strong magnetic field. Therefore, the maximization of ionized Sn ion ratio is essentially important from the point of conversion efficiency, and also mitigation of Sn. If all the Sn atoms are ionized, all the Sn ions can possibly be guided along the magnetic flux. Also, some neutral atoms can be guided and trapped by charge exchange with ions. 11) This system is also equipped with a chemical etching mechanism. With this etching mechanism the remaining Sn atoms are removed from the surface of the collector mirror and of some view ports. 12) The main function of the EUV vessel is to maintain high level vacuum environment around EUV plasma and to mechanically position the components, such as the collector mirror and the droplet generator and so on. The EUV vessel schematic is shown in Fig.7. It contain 7 components; one droplet generator, one droplet catcher, one collector mirror, a pair of super-conductive magnet and a pair of ion collector. In order to mitigate Sn debris, a pair of superconductive magnetic coils is co-axially aligned both side of the vessel. One of the most important requirements is to fully capture Sn atoms after EUV radiation for the extension of the lifetime of the collector mirror. Sn deposition of even 1 nm thick layer on the EUV collector mirror, i.e. a few atomic layers, degrades the mirror reflectivity by 10 %, which needs to be taken into consideration in the mirror lifetime specification. 12) The supplied Sn has to be almost fully removed from the active region in order to prevent deposition (evaporated material, molten droplets, slow ions), erosion (fast ions), and implantation (ultra fast ions) on the collector mirror. 2-3 Droplet generator The small Sn droplet generation is particularly important in Sn debris mitigation. The mass of Sn should be minimized to what is necessary to obtain EUV photons, to minimize Sn debris. The Sn supply tank is heated above the melting temperature of Sn (> degree Celsius). The liquid Sn droplets are generated from the droplet generator. The long term positional stability of the droplets is indispensable to maintain the long term EUV IF power stability. The droplet position is measured by a position sensor and the results are fed back to the droplet generator stage. This is very important because the droplet position determines the EUV light source position. Also pre-pulse laser and CO 2 laser irradiation positions are monitored, which, combined with monitoring of the droplet position, enables to stabilize CE and EUV light source position and to improve Sn debris mitigation, and is extremely important for commercial use. The EUV light source system is equipped with droplet position sensors and controllers; it also has pre-pulse laser and CO 2 laser position controllers to stabilize plasma position and the EUV energy. Recently we succeeded to realize stable 20um droplet generation. The droplet generator is shown red rod and the droplet catcher is shown bleu rod in Fig CO 2 driver laser system The pulsed Master Oscillator Power Amplifier (MOPA) CO 2 laser system has 20 nsec pulse duration (FWHM) and 20 kw average output power at 100 khz repetition rate, which are optimized for Sn plasma generation. The hybrid CO 2 laser system consists of a short-pulse highrepetition-rate master oscillator (master-osc) and multistage cascade amplifiers. The master-osc laser is a Q- switched, 20 nsec, single P(20) line, RF-pumped waveguide CO 2 laser. The RF-excitation is a commonly employed scheme in axial flow or diffusion cooled slab or waveguide configurations, allowing a high repetition rate in pulsed operation by a well designed amplification system, for high repetition rate plasma generation. The commercial cw-co 2 amplifiers are used as the amplifiers with some modifications. The laser system is operable from low duty mode (2 %) to full duty mode (100 %). The targeted specifications of this laser system are Fig.8 Driver CO 2 laser system test at Mitsubishi electric Proc. of SPIE Vol C-5

7 - following; The master-osc generates pulses at the repetition rate of 100 khz, with 20 nsec pulse duration, and with 150 W (1.5 mj, 100 khz) power 17). The OSC contains two major parts. One is master-osc that oscillates a pulse, and the other one is OSC-AMP that amplifies the pulse energy. The pre-amplifier (pre-amp) amplifies the pulse from 150 W to around 3.0 kw (30 mj, 100 khz) output level with a slab-type discharge chamber. The mainamplifiers (main-amp) further amplify the pulse from 3.0 kw to 20 kw (200 mj, 100 khz) output level with three sets of CW discharge CO 2 laser systems.since 2011 we have develop new CO 2 laser amplifier co-operate with Mitsubishi electric supported by NEDO 14)16). In 2013 we succeeded to demonstrate 21kW output power with engineering test stand1 15)16)17). 2-5 IR reduction technology on collector mirror 18) After EUV plasma is created, EUV light is collected by multilayer mirror. However EUV plasma emits not only EUV light, but also UV light, visible light and infrared light respectively. These light components are called Out of band light (Fig.9(a)). In past, several kind of filter is used to cut these out of band components. However filter is heated up by absorption of filter material itself. We have innovated new type filter; there has grating like structure on the surface of multilayer. Reflected IR light from the multilayer makes interference pattern at focal plane (patent pending). Only IR light is absorbed by aperture stop. Fig.9 (b) shows the schematic of this new filter. Fig. 10 shows the measurement results of the new type out of band filter. The data shows quite high transmittance (around 45%) of this filter at all of distance from central axis of mirror 18) v % c Wavelength, nm Fig.9 (a) Out of Band spectrum IR Light EUV Light. 10 Wm Wan, Laser EapaMerJ CMpprr I val (b) Schematic of new type filter EN Reflectivity IR Reflectivity V5- E % M4.wi"atmrvlcn VS- loviam,vwyn V5- E % le 1.14 SO Fig.10 Measurement result of (a) Reflectivity at radial position, (b) Interference pattern on focal plane 18). Proc. of SPIE Vol C-6

8 3. SYSTEM TEST AND RESULT To realize our EUV light source, we are constructed two proto type unit; proto #1 and #2. The configuration and target specification of each proto type device is shown in Table 1. Major difference between three systems is CO 2 laser power and output angle, other specification is essentially same. Pilot system is under planning at this moment. Table 1 shows configuration and target specification of two Prototypes and Pilot systems. Table 1 Specification of 3 devices of Gigaphoton Operational Specification Proto # I Proto #2 Pilot EUV Power 25 W 100 W 250 W CE 3% 4% 4% Pulse rate 100 khz 100 khz 100 khz Target Performance Output angle Horizontal 62' upper (matched to NXE) 62 upper (matched to NXE) Availability 1 week operation 1 week operation > 75% Droplet generator CO2 laser m >8kW 20 um >12kW <20Itm 25 kw Technology Pre -pulse laser picosecond picosecond picosecond Debris mitigation validation of magnetic mitigation in system 10 days >30 15 days days 3-1 Proto type #1 system We have been develop system technology and component test at proto #1 since ). In 2012, we concentrate to solve two issues, one is dramatic improvement of long-term stability of droplet generator, the other is CO 2 laser power from 5kW to 9kW. We observed 34W EUV emission at proto #1 device with step by step approach. Fig.11 Pilot #1 system Proc. of SPIE Vol C-7

9 Fig.12 Deposition on the C1 mirror a) simulation b) actual picture Fig.12 shows thin deposition on the C1 mirror after 3 days operation in proto #1. Also thin deposition simulation result is shown. From this simulation the root cause of the deposition is estimated, it is reverse diffusion of thin from ion catcher. Therefore we are now improving this diffusion by re-design of ion catcher with 3 steps. Fig.13 shows the expected result of improvement by the simulation. We believe this magnetic mitigation approach is promising from these experiment and simulation. Ì= Fig. 13 Improvement of deposition from ion catcher (simulation) 3-2 Proto type #2 system Fig.14 EUV chamber of proto #2 system Proc. of SPIE Vol C-8

10 We have started the construction of proto #2 system in 2H of The operation has started beginning of The picture of EUV chamber is shown in Fig14. EUV chamber is compatible to EUV tool. The chamber is builded between pair of super conductive magnet. Tubing and wire are flexibly connected to the EUV chamber for ease of maintenance. For further power scale-up, not only higher CE development, but also higher power driver laser development is essentially important. We have done the CO 2 laser driver pre-amplifier improvement; slab type pre-amplifier is replaced by new pre-amplifier made from Mitsubishi Electric. Driver CO 2 laser of Proto #2 system is enhanced from 8kW to 20kW. This 20kW output power corresponds 14 kw at the plasma point because of transmission loss of CO 2 laser optics. Fig.15 shows picture of this new pre-amplifier laser installation in our laboratory. Typical output data of new CO 2 laser driver system is shown in Fig.16. Blue line shows data of old laser system, its maximum power limited around 10kW by self oscillaton. However power was enhanced up to 20kW after the replacement of pre-amplifier. Also typical pulse waveform 11.7ns (FWHM) and beam profile are shown. 1 4./ Fig.15 New CO 2 laser pre-amplifier ( Produced by Mitsubishi electric) for 20kW CO 2 laser system. Proto#2 performance Pulse waveform Beam Profile o Input (W) -.-Rofin PA --Mitsubishi PA(26A) Fig.16 Input / Output power and temporal / spacial ptofile of CO 2 driver laser system of proto #2 Proc. of SPIE Vol C-9

11 3-3 Latest experimental result of Proto #2 system Emission data of EUV is shown in Fig.17 shows 140W in burst under 70kHz 50% duty cycle. This operation realized 70W average ( 140Wx50%) output power during 10 minutes.. Fig.18 shows 118W in burst under 60kHz 70% duty cycle. This operation realized 83W average ( 118Wx70%) output power during 10 minutes.fig.19 It shows 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF). This operation realized 21W average ( 42W x 50%) output power during 3hours (110Mpls). Data (a) shows EUV energy trend during 3 hours, and data (b) shows spatial stability of droplet at the shooing point. These data shows stable operation has achieved during 3 hours. Next target of proto #2 is week level operation with over 100W EUV power with 14kW CO 2 laser power % duty o Fig.17 EUV 140W in burst (70W average) power data 140 EUV dean power 10 Pulse data in burst a, 0.4sec ON, 0.167sec OFF _ Burst number nu, 11.11, V M law valu number Fig.18 EUV 118W in burst (83W average) power data Fig.19 EUV 42 W in burst (a) energy stability and (b) droplet stability at shooting point during 3 hours. Proc. of SPIE Vol C-10

12 3-4 Pilot #1 system Fig W LPP EUV Light Source System (Pilot #1) Structure of Pilot #1 EUV source is shown in Fig.20. To minimize optical loss of EUV light the EUV vessel is connected to the scanner tightly. To minimize overall footprint in the clean room area, the CO 2 laser system is located on a different floor (usually downstairs) from where the scanner is located (Fig.21). CO 2 driver laser system configuration between device is shown in Fig.17. This pilot #1 system facilitate full Mitsubishi CO 2 laser amplifier. Estimated maximum power at the laser exit is 27kW and power at the plasma point is 20kW (Fig.22). Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO 2 laser power by the end of Q2 of _tom -1 Fig.21 Structure of CO 2 driver laser system in Pilot #1 system Target at Plasma SkW 8kw 14kW System Endurance Testing Platform IPower Up Testing Power Up Testing Oscillctor Pre Amplifier Main Amplifier GPI R T T Pilot It Fig.22 CO 2 driver laser system configuration of Proto #1, #2 and Pilot #1 Proc. of SPIE Vol C-11

13 4. CONCLUSION - We have reported component technology progress of EUV light source system. - We reported promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. - We demonstrated following data with Proto#2 system; (1) Emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) Emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) Emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF)during 3hours. Next target of proto #2 is week level operation with over 100W EUV power with 14kW CO 2 laser power. - We report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO 2 laser power by the end of Q2 of Finally as summary, we show the milestone of our development in Table 2. Table 2 Milestone of EUV light source development This work 140W 2014,04 > 14kW >4.2% 26.7% Mitsubishi pre. amp :Proto #2 Grating Type Pilot #1 250W 2015,02 > 20kW > 4.5% 26.7% Mitsubishi main amp. system Grating Type 5. ACKNOWLEDGMENT This work was partly supported by the New Energy and Industrial Technology Development Organization (NEDO), Japan. We acknowledge their continuous support. We acknowledge to following researchers and organizations; Plasma simulation is supported by Dr. Jun Sunahara, Dr. Katsunori Nishihara, Prof. Hiroaki Nishimura, and others in Osaka University. Plasma diagnostics is supported by Dr. Kentaro Tomita, Prof. Kiichiro Uchino and others in Kyushu University. Laser engineering is supported by Dr. Akira Endo :HiLase Project (Prague) and Prof. Masakazu Washio and others in Waseda University. We also acknowledge Mitsubishi Electric CO 2 laser amp. develop. team: Dr. Yoichi Tanino, Dr. Junichi Nishimae, Dr. Shuichi Fujikawa and others. Authors are very sorry to miss Dr. Yoichi Tanino in Mitsubishi Electric Corporation with sudden death on 1 st February in We appreciate his extreme great job of CO 2 amplifier development in very short period and pray for the soul of him. Proc. of SPIE Vol C-12

14 REFERENCES [1] Noreen Harned, et. al.: EUV lithography with the Alpha Demo Tools: status and challenges, Proc. SPIE 6517 (2007) [ ] [2] Takaharu Miura et. al.,: Nikon EUVL development progress update Proc. SPIE 6921 (2008) [6921-0M] [3] Christian Wagner, et. al.: EUV into production with ASML's NXE platform, Proc. SPIE 7636 (2010) [7636-1H] [4] Christian Wagner, et. al.: Performance validation of ASML s NXE:3100, Proc. SPIE 7969 (2011) [ ] [5] Hakaru Mizoguchi, et. al.: First generation laser-produced plasma source system for HVM EUV lithography, Proc. SPIE 7636, (2010) [ ] [6] Akira Endo, et. al.: Laser produced EUV light source development for HVM, Proc. SPIE 6517 (2007) [7] Hakaru Mizoguchi, et. al.: 100W 1 st Generation Laser-Produced Plasma light source system for HVM EUV lithography, Proc. SPIE 7969 (2011) [796908] [8] K. Nishihara, et. al., EUV Sources for Lithography, Chap. 11, ed. V. Bakshi, SPIE, Bellingham, [9] H. Tanaka, et. al.: Comparative study on emission characteristics of extreme ultraviolet radiation from CO 2 and Nd:YAG laser-produced tin plasmas, Appl. Phys. Lett. 87, (2005) [10] H. Hoshino, et. al.: LPP EUV light source employing high-power CO 2 laser, Proc. SPIE 6921 (2008) [11] Tatsuya Yanagida, et. al.: Characterization and optimization of tin particle mitigation and EUV conversion efficiency in a laser produced plasma EUV light source, Proc. SPIE 7969 (2011) [ ] [12] Junichi Fujimoto, et. al.: Development of the reliable 20 kw class pulsed carbon dioxide laser system for LPP EUV light source, Proc. SPIE 7969 (2011) [ ] [13] Hakaru Mizoguchi: High CE technology for HVM EUV source (EUV Symposium 2012, Oct , Brussel) [14] Yoichi Tanino: A proposal for an EUV light source using transverse flow CO 2 lasers (EUV Symposium 2 012, Oct , Brussel) [15] Hakaru Mizoguchi et al: High Ce and Magnetic Mitigation Technology for HVM EUV Source (EUV Symposium 2013, Oct , Toyama) [16] Yoichi Tanino et.al.: A Driver CO 2 Laser Using Transverse-flow CO 2 Laser Amplifiers (EUV Symposium 2013, Oct , Toyama) [17] Krzysztof M Nowak, Yoichi Tanino et.al.: EUV driver CO 2 laser system using multi-line nano-second pulse high-stability master oscillator for Gigaphoton's EUV LPP system (EUV Symposium 2013, Oct , Toyama) [18] RIGAKU technical display: IR Rejection Collector Optic Manufacturing Process (EUV Symposium 2013, Oct ,Toyama) [19] Hakaru Mizoguchi, et. al.: Sub-hundred Watt operation demonstration of HVM LPP-EUV source, Proc. SPIE 9048, (2014) [ ] [20] H. Mizoguchi et. al.: One hundred Watt Operation Demonstration of HVM LPP-EUV Source, 2014 EUVL workshop (2014. Jun , Maui, USA) [21] H. Mizoguchi et.al.: Performance of One-Hundred Watt HVM LPP-EUV Source, 2014 EUVL Symposium (2014. Oct , Washington D.C., USA) Proc. of SPIE Vol C-13

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. Invited Paper LPP-EUV light

More information

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography 1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi*1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta,Tsukasa Hori, Tatsuya Yanagida, Hitoshi

More information

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

1 st generation Laser-Produced Plasma source system for HVM EUV lithography 1 st generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi *1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta, Tsukasa Hori, Akihiko Kurosu, Hiroshi Komori,

More information

1 Introduction. Review Article

1 Introduction. Review Article Adv. Opt. echn. 215; 4(4): 297 39 Review Article Hakaru Mizoguchi*, Hiroaki Nakarai, amotsu Abe, Krzysztof M. Nowak, Yasufumi Kawasuji, Hiroshi anaka, Yukio Watanabe, sukasa Hori, akeshi Kodama, Yutaka

More information

Laser Produced Plasma Light Source for HVM-EUVL

Laser Produced Plasma Light Source for HVM-EUVL Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano,

More information

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

PROCEEDINGS OF SPIE. Key components development progress updates of the 250W high power LPP-EUV light source

PROCEEDINGS OF SPIE. Key components development progress updates of the 250W high power LPP-EUV light source PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Key components development progress updates of the 25W high power LPP-EUV light source Takayuki Yabu, Yasufumi Kawasuji, Tsukasa

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source Introduction of Products Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source Hakaru Mizoguchi Takashi Saito Noritoshi Itou Taku Yamazaki

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography V. Sherstobitov*, A. Rodionov**, D. Goryachkin*, N. Romanov*, L. Kovalchuk*, A. Endo***, K. Nowak*** *JSC Laser Physics, St. Petersburg,

More information

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose 1 Japan Update EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda SOURCE TWG 2 March, 2005 San Jose Outline 2 EUVA LPP at Hiratsuka R&D Center GDPP at Gotenba Branch Lab.

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources Power scaling of picosecond thin disc laser for LPP and FEL EUV sources A. Endo 1,2, M. Smrz 1, O. Novak 1, T. Mocek 1, K.Sakaue 2 and M.Washio 2 1) HiLASE Centre, Institute of Physics AS CR, Dolní Břežany,

More information

Improving efficiency of CO 2

Improving efficiency of CO 2 Improving efficiency of CO 2 Laser System for LPP Sn EUV Source K.Nowak*, T.Suganuma*, T.Yokotsuka*, K.Fujitaka*, M.Moriya*, T.Ohta*, A.Kurosu*, A.Sumitani** and J.Fujimoto*** * KOMATSU ** KOMATSU/EUVA

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

Fiber Lasers for EUV Lithography

Fiber Lasers for EUV Lithography Fiber Lasers for EUV Lithography A. Galvanauskas, Kai Chung Hou*, Cheng Zhu CUOS, EECS Department, University of Michigan P. Amaya Arbor Photonics, Inc. * Currently with Cymer, Inc 2009 International Workshop

More information

GIGAPHOTON INTRODUCTION

GIGAPHOTON INTRODUCTION GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc. Outline of Gigaphoton Business Light source business

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

High Power CO 2 Laser, EUVA

High Power CO 2 Laser, EUVA High Power CO 2 Laser, EUVA Akira Endo Extreme Ultraviolet Lithography System Development Association EUVA, Japan EUV Source Workshop 6 May, 2007 Baltimore, MD, USA Ver. 1.0 Acknowledgments This work was

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* Y. Owadano, E. Takahashi, I. Okuda, I. Matsushima, Y. Matsumoto, S. Kato, E. Miura and H.Yashiro 1), K. Kuwahara 2)

More information

Development of scalable laser technology for EUVL applications

Development of scalable laser technology for EUVL applications Development of scalable laser technology for EUVL applications Tomáš Mocek, Ph.D. Chief Scientist & Project Leader HiLASE Centre CZ.1.05/2.1.00/01.0027 Lasers for real-world applications Laser induced

More information

LPP EUV Source Development and HVM I Productization

LPP EUV Source Development and HVM I Productization LPP EUV Source Development and HVM I Productization October 19, 2009 David C. Brandt*, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers Richard L. Sandstrom, Norbert R. Böwering, Alexander

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

Beam quality of a new-type MOPO laser system for VUV laser lithography

Beam quality of a new-type MOPO laser system for VUV laser lithography Beam quality of a new-type MOPO laser system for VUV laser lithography Osamu Wakabayashi a, Tatsuya Ariga a, Takahito Kumazaki a, Koutarou Sasano a, Takayuki Watanabe a, Takayuki Yabu a, Tsukasa Hori a,

More information

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Uwe Stamm, Jürgen Kleinschmidt, Bernd Nikolaus, Guido Schriever, Max Christian Schürmann, Christian Ziener

More information

PROCEEDINGS OF SPIE. 193nm high power lasers for the wide bandgap material processing

PROCEEDINGS OF SPIE. 193nm high power lasers for the wide bandgap material processing PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie 193nm high power lasers for the wide bandgap material processing Junichi Fujimoto, Masakazu Kobayashi, Koji Kakizaki, Hiroaki Oizumi,

More information

Compact EUV Source for Metrology and Inspection

Compact EUV Source for Metrology and Inspection Compact EUV Source for Metrology and Inspection Klaus Bergmann, Jochen Vieker, Alexander von Wezyk 2015 EUV Source Workshop, 10.11.2015, Dublin Overview Introduction Xenon based EUV Source FS5420 Consideration

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

Thin-Disc-Based Driver

Thin-Disc-Based Driver Thin-Disc-Based Driver Jochen Speiser German Aerospace Center (DLR) Institute of Technical Physics Solid State Lasers and Nonlinear Optics Folie 1 German Aerospace Center! Research Institution! Space Agency!

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Practical Applications of Laser Technology for Semiconductor Electronics

Practical Applications of Laser Technology for Semiconductor Electronics Practical Applications of Laser Technology for Semiconductor Electronics MOPA Single Pass Nanosecond Laser Applications for Semiconductor / Solar / MEMS & General Manufacturing Mark Brodsky US Application

More information

Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool

Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool 6520-75 Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool Toru Suzuki*, Kouji Kakizaki**, Takashi Matsunaga*, Satoshi Tanaka**, Yasufumi Kawasuji*, Masashi

More information

Nd: YAG Laser Energy Levels 4 level laser Optical transitions from Ground to many upper levels Strong absorber in the yellow range None radiative to

Nd: YAG Laser Energy Levels 4 level laser Optical transitions from Ground to many upper levels Strong absorber in the yellow range None radiative to Nd: YAG Lasers Dope Neodynmium (Nd) into material (~1%) Most common Yttrium Aluminum Garnet - YAG: Y 3 Al 5 O 12 Hard brittle but good heat flow for cooling Next common is Yttrium Lithium Fluoride: YLF

More information

Single frequency MOPA system with near diffraction limited beam

Single frequency MOPA system with near diffraction limited beam Single frequency MOPA system with near diffraction limited beam quality D. Chuchumishev, A. Gaydardzhiev, A. Trifonov, I. Buchvarov Abstract Near diffraction limited pulses of a single-frequency and passively

More information

PGx11 series. Transform Limited Broadly Tunable Picosecond OPA APPLICATIONS. Available models

PGx11 series. Transform Limited Broadly Tunable Picosecond OPA APPLICATIONS. Available models PGx1 PGx3 PGx11 PT2 Transform Limited Broadly Tunable Picosecond OPA optical parametric devices employ advanced design concepts in order to produce broadly tunable picosecond pulses with nearly Fourier-transform

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

Fiber lasers and their advanced optical technologies of Fujikura

Fiber lasers and their advanced optical technologies of Fujikura Fiber lasers and their advanced optical technologies of Fujikura Kuniharu Himeno 1 Fiber lasers have attracted much attention in recent years. Fujikura has compiled all of the optical technologies required

More information

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES Luca Poletto CNR - Institute of Photonics and Nanotechnologies Laboratory for UV and X-Ray Optical Research Padova, Italy e-mail:

More information

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION 1 ) XTREME technologies GmbH, Steinbachstr. 15, 5274 Aachen, Germany 2 ) Gotemba R&D Center, Extreme Ultraviolet Lithography System Development Association (EUVA), 1-9, Komakado, Gotemba, Shizuoka-prefecture,

More information

Vertical External Cavity Surface Emitting Laser

Vertical External Cavity Surface Emitting Laser Chapter 4 Optical-pumped Vertical External Cavity Surface Emitting Laser The booming laser techniques named VECSEL combine the flexibility of semiconductor band structure and advantages of solid-state

More information

High repetition-rate LPP-source facility for EUVL

High repetition-rate LPP-source facility for EUVL High repetition-rate LPP-source facility for EUVL T. chmid *,. A. George, J. Cunado,. Teerawattanasook, R. Bernath, C. Brown, K. Takenoshita, C.-. Koay, and M. Richardson College of Optics & Photonics,

More information

Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy

Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy Qiyuan Song (M2) and Aoi Nakamura (B4) Abstracts: We theoretically and experimentally

More information

The Development of a High Quality and a High Peak Power Pulsed Fiber Laser With a Flexible Tunability of the Pulse Width

The Development of a High Quality and a High Peak Power Pulsed Fiber Laser With a Flexible Tunability of the Pulse Width The Development of a High Quality and a High Peak Power Pulsed Fiber Laser With a Flexible Tunability of the Pulse Width Ryo Kawahara *1, Hiroshi Hashimoto *1, Jeffrey W. Nicholson *2, Eisuke Otani *1,

More information

CONFIGURING. Your Spectroscopy System For PEAK PERFORMANCE. A guide to selecting the best Spectrometers, Sources, and Detectors for your application

CONFIGURING. Your Spectroscopy System For PEAK PERFORMANCE. A guide to selecting the best Spectrometers, Sources, and Detectors for your application CONFIGURING Your Spectroscopy System For PEAK PERFORMANCE A guide to selecting the best Spectrometers, s, and s for your application Spectral Measurement System Spectral Measurement System Spectrograph

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

5kW DIODE-PUMPED TEST AMPLIFIER

5kW DIODE-PUMPED TEST AMPLIFIER 5kW DIODE-PUMPED TEST AMPLIFIER SUMMARY?Gain - OK, suggest high pump efficiency?efficient extraction - OK, but more accurate data required?self-stabilisation - Yes, to a few % but not well matched to analysis

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Micromachining with tailored Nanosecond Pulses

Micromachining with tailored Nanosecond Pulses Micromachining with tailored Nanosecond Pulses Hans Herfurth a, Rahul Patwa a, Tim Lauterborn a, Stefan Heinemann a, Henrikki Pantsar b a )Fraunhofer USA, Center for Laser Technology (CLT), 46025 Port

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

Extreme Light Infrastucture (ELI) Science and Technology at the ultra-intense Frontier. Bruno Le Garrec

Extreme Light Infrastucture (ELI) Science and Technology at the ultra-intense Frontier. Bruno Le Garrec SPIE Photonics West 2.2.2014 Extreme Light Infrastucture (ELI) Science and Technology at the ultra-intense Frontier Bruno Le Garrec bruno.legarrec@eli-beams.eu On behalf of Georg Korn, Bedrich Rus and

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 1 AIXUV GmbH, Steinbachstrasse 15, D-52074 Aachen, Germany 2 Fraunhofer Institut für Lasertechnik 3 Lehrstuhl für Lasertechnik, RWTH Aachen

More information

Vixar High Power Array Technology

Vixar High Power Array Technology Vixar High Power Array Technology I. Introduction VCSELs arrays emitting power ranging from 50mW to 10W have emerged as an important technology for applications within the consumer, industrial, automotive

More information

High power VCSEL array pumped Q-switched Nd:YAG lasers

High power VCSEL array pumped Q-switched Nd:YAG lasers High power array pumped Q-switched Nd:YAG lasers Yihan Xiong, Robert Van Leeuwen, Laurence S. Watkins, Jean-Francois Seurin, Guoyang Xu, Alexander Miglo, Qing Wang, and Chuni Ghosh Princeton Optronics,

More information

Laser Induced Damage Threshold of Optical Coatings

Laser Induced Damage Threshold of Optical Coatings White Paper Laser Induced Damage Threshold of Optical Coatings An IDEX Optics & Photonics White Paper Ronian Siew, PhD Craig Hanson Turan Erdogan, PhD INTRODUCTION Optical components are used in many applications

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Development of Nano Second Pulsed Lasers Using Polarization Maintaining Fibers

Development of Nano Second Pulsed Lasers Using Polarization Maintaining Fibers Development of Nano Second Pulsed Lasers Using Polarization Maintaining Fibers Shun-ichi Matsushita*, * 2, Taizo Miyato*, * 2, Hiroshi Hashimoto*, * 2, Eisuke Otani* 2, Tatsuji Uchino* 2, Akira Fujisaki*,

More information

J-KAREN-P Session 1, 10:00 10:

J-KAREN-P Session 1, 10:00 10: J-KAREN-P 2018 Session 1, 10:00 10:25 2018 5 8 Outline Introduction Capabilities of J-KAREN-P facility Optical architecture Status and implementation of J-KAREN-P facility Amplification performance Recompression

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

High Average Power, High Repetition Rate Side-Pumped Nd:YVO 4 Slab Laser

High Average Power, High Repetition Rate Side-Pumped Nd:YVO 4 Slab Laser High Average Power, High Repetition Rate Side-Pumped Nd:YVO Slab Laser Kevin J. Snell and Dicky Lee Q-Peak Incorporated 135 South Rd., Bedford, MA 173 (71) 75-9535 FAX (71) 75-97 e-mail: ksnell@qpeak.com,

More information

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

High-peak power laser system used in Yb doped LMA fiber

High-peak power laser system used in Yb doped LMA fiber High-peak power laser system used in Yb doped LMA fiber Institute of Laser Engineering, Osaka University, Suita, Osaka, Japan YOSHIDA Hidetsugu, TSUBAKIMOTO Koji, FUJITA Hisanori, NAKATSUKA Masahiro, MIYANAGA

More information

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G APPLICATION NOTE M06 attosnom I: Topography and Force Images Scanning near-field optical microscopy is the outstanding technique to simultaneously measure the topography and the optical contrast of a sample.

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Impact of the light coupling on the sensing properties of photonic crystal cavity modes Kumar Saurav* a,b, Nicolas Le Thomas a,b,

Impact of the light coupling on the sensing properties of photonic crystal cavity modes Kumar Saurav* a,b, Nicolas Le Thomas a,b, Impact of the light coupling on the sensing properties of photonic crystal cavity modes Kumar Saurav* a,b, Nicolas Le Thomas a,b, a Photonics Research Group, Ghent University-imec, Technologiepark-Zwijnaarde

More information

Bandpass Edge Dichroic Notch & More

Bandpass Edge Dichroic Notch & More Edmund Optics BROCHURE Filters COPYRIGHT 217 EDMUND OPTICS, INC. ALL RIGHTS RESERVED 1/17 Bandpass Edge Dichroic Notch & More Contact us for a Stock or Custom Quote Today! USA: +1-856-547-3488 EUROPE:

More information

COMPONENTS OF OPTICAL INSTRUMENTS. Chapter 7 UV, Visible and IR Instruments

COMPONENTS OF OPTICAL INSTRUMENTS. Chapter 7 UV, Visible and IR Instruments COMPONENTS OF OPTICAL INSTRUMENTS Chapter 7 UV, Visible and IR Instruments 1 Topics A. GENERAL DESIGNS B. SOURCES C. WAVELENGTH SELECTORS D. SAMPLE CONTAINERS E. RADIATION TRANSDUCERS F. SIGNAL PROCESSORS

More information

COMPONENTS OF OPTICAL INSTRUMENTS. Topics

COMPONENTS OF OPTICAL INSTRUMENTS. Topics COMPONENTS OF OPTICAL INSTRUMENTS Chapter 7 UV, Visible and IR Instruments Topics A. GENERAL DESIGNS B. SOURCES C. WAVELENGTH SELECTORS D. SAMPLE CONTAINERS E. RADIATION TRANSDUCERS F. SIGNAL PROCESSORS

More information

improved stability (compared with

improved stability (compared with Picosecond Tunable Systems Nanosecond Lasers NT230 SERIES NT230 series lasers deliver high up to 10 mj energy pulses at 100 Hz pulse repetition rate, tunable over a broad spectral range. Integrated into

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters!

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters! Provided by the author(s) and University College Dublin Library in accordance with publisher policies., Please cite the published version when available. Title Robust liquid metal collector mirror for

More information

A novel tunable diode laser using volume holographic gratings

A novel tunable diode laser using volume holographic gratings A novel tunable diode laser using volume holographic gratings Christophe Moser *, Lawrence Ho and Frank Havermeyer Ondax, Inc. 85 E. Duarte Road, Monrovia, CA 9116, USA ABSTRACT We have developed a self-aligned

More information

Improving the Collection Efficiency of Raman Scattering

Improving the Collection Efficiency of Raman Scattering PERFORMANCE Unparalleled signal-to-noise ratio with diffraction-limited spectral and imaging resolution Deep-cooled CCD with excelon sensor technology Aberration-free optical design for uniform high resolution

More information

Lasers à fibres ns et ps de forte puissance. Francois SALIN EOLITE systems

Lasers à fibres ns et ps de forte puissance. Francois SALIN EOLITE systems Lasers à fibres ns et ps de forte puissance Francois SALIN EOLITE systems Solid-State Laser Concepts rod temperature [K] 347 -- 352 342 -- 347 337 -- 342 333 -- 337 328 -- 333 324 -- 328 319 -- 324 315

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

High peak power pulsed single-mode linearly polarized LMA fiber amplifier and Q-switch laser

High peak power pulsed single-mode linearly polarized LMA fiber amplifier and Q-switch laser High peak power pulsed single-mode linearly polarized LMA fiber amplifier and Q-switch laser V. Khitrov*, B. Samson, D. Machewirth, D. Yan, K. Tankala, A. Held Nufern, 7 Airport Park Road, East Granby,

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

EUV Plasma Source with IR Power Recycling

EUV Plasma Source with IR Power Recycling 1 EUV Plasma Source with IR Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 1/6/2016 (first revision) Abstract Laser power requirements for an EUV laser-produced plasma source can be reduced

More information

Power. Warranty. 30 <1.5 <3% Near TEM ~4.0 one year. 50 <1.5 <5% Near TEM ~4.0 one year

Power. Warranty. 30 <1.5 <3% Near TEM ~4.0 one year. 50 <1.5 <5% Near TEM ~4.0 one year DL CW Blue Violet Laser, 405nm 405 nm Operating longitudinal mode Several Applications: DNA Sequencing Spectrum analysis Optical Instrument Flow Cytometry Interference Measurements Laser lighting show

More information

PROCEEDINGS OF SPIE. The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation

PROCEEDINGS OF SPIE. The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation Hirotaka Miyamoto,

More information

NL300 series. Compact Flash-Lamp Pumped Q-switched Nd:YAG Lasers FEATURES APPLICATIONS NANOSECOND LASERS

NL300 series. Compact Flash-Lamp Pumped Q-switched Nd:YAG Lasers FEATURES APPLICATIONS NANOSECOND LASERS NL200 NL210 NL230 NL300 NL740 electro-optically Q-switched nanosecond Nd:YAG lasers produce high energy pulses with 3 6 ns duration. Pulse repetition rate can be selected in range of 5 20 Hz. NL30 HT models

More information

3.6 An Ultra-Stable Nd:YAG-Based Laser Source. 8. Jayatna Venkataraman (private communication). ACKNOWLEDGMENT

3.6 An Ultra-Stable Nd:YAG-Based Laser Source. 8. Jayatna Venkataraman (private communication). ACKNOWLEDGMENT ADVANCED TECHNOLOGY DEVELOPMENTS ACKNOWLEDGMENT This work was supported by the following sponsors of the Laser Fusion Feasibil~ty Project at the Laboratory for Laser Energetics-Empire State Electric Energy

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

DCS laser for Thomson scattering diagnostic applications

DCS laser for Thomson scattering diagnostic applications DCS laser for Thomson scattering diagnostic applications Authors Jason Zweiback 10/6/2015 jzweiback@logostech.net 1 Summary Motivation DCS laser Laser for Thomson scattering diagnostics 2 What is the Dynamic

More information

Spatially Resolved Backscatter Ceilometer

Spatially Resolved Backscatter Ceilometer Spatially Resolved Backscatter Ceilometer Design Team Hiba Fareed, Nicholas Paradiso, Evan Perillo, Michael Tahan Design Advisor Prof. Gregory Kowalski Sponsor, Spectral Sciences Inc. Steve Richstmeier,

More information

Romania and High Power Lasers Towards Extreme Light Infrastructure in Romania

Romania and High Power Lasers Towards Extreme Light Infrastructure in Romania Romania and High Power Lasers Towards Extreme Light Infrastructure in Romania Razvan Dabu, Daniel Ursescu INFLPR, Magurele, Romania Contents GiWALAS laser facility TEWALAS laser facility CETAL project

More information

ESCC2006 European Supply Chain Convention

ESCC2006 European Supply Chain Convention ESCC2006 European Supply Chain Convention PCB Paper 20 Laser Technology for cutting FPC s and PCB s Mark Hüske, Innovation Manager, LPKF Laser & Electronics AG, Germany Laser Technology for cutting FPCs

More information

Operating longitudinal mode Several Polarization ratio > 100:1. Power. Warranty. 30 <1.5 <5% Near TEM ~4.0 one year

Operating longitudinal mode Several Polarization ratio > 100:1. Power. Warranty. 30 <1.5 <5% Near TEM ~4.0 one year DL CW Blue Violet Laser, 405nm 405 nm Operating longitudinal mode Several Applications: DNA Sequencing Spectrum analysis Optical Instrument Flow Cytometry Interference Measurements Laser lighting show

More information

Single-photon excitation of morphology dependent resonance

Single-photon excitation of morphology dependent resonance Single-photon excitation of morphology dependent resonance 3.1 Introduction The examination of morphology dependent resonance (MDR) has been of considerable importance to many fields in optical science.

More information

Gigashot TM FT High Energy DPSS Laser

Gigashot TM FT High Energy DPSS Laser Gigashot TM FT High Energy DPSS Laser Northrop Grumman Cutting Edge Optronics (636) 916-4900 / Email: st-ceolaser-info@ngc.com 2015 Northrop Grumman Systems Corporation Gigashot TM FT Key Specifications

More information

Bridging the Gap Between Tools & Applications

Bridging the Gap Between Tools & Applications EUV Workshop, Dublin 7-9 November 2011 The EUV Laser Program at the University of Bern Bridging the Gap Between Tools & Applications D. Bleiner, J.E. Balmer, F. Staub, J. Fei, L. Masoudnia, M. Ruiz Universität

More information

Beam Shaping in High-Power Laser Systems with Using Refractive Beam Shapers

Beam Shaping in High-Power Laser Systems with Using Refractive Beam Shapers - 1 - Beam Shaping in High-Power Laser Systems with Using Refractive Beam Shapers Alexander Laskin, Vadim Laskin AdlOptica GmbH, Rudower Chaussee 29, 12489 Berlin, Germany ABSTRACT Beam Shaping of the

More information