DESIGN OF LOW POWER SRAM CELL WITH IMPROVED STABILITY

Size: px
Start display at page:

Download "DESIGN OF LOW POWER SRAM CELL WITH IMPROVED STABILITY"

Transcription

1 DESIGN OF LOW POWER SRAM CELL WITH IMPROVED STABILITY DISSERTATION REPORT SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE AWARD OF THE DEGREE OF MASTER OF TECHNOLOGY CONTROL AND INSTRUMENTATION SYSTEMS IN Submitted By JAVED AKHTAR ANSARI (Roll no. - 13MCIS-07) Under the Supervision of Prof. ABDUL QUAIYUM ANSARI Department of Electrical Engineering Faculty of Engineering and Technology Jamia Millia Islamia New Delhi

2 DEPARTMENT OF ELECTRICAL ENGINEERING FACULTY OF ENGINEERING AND TECHNOLOGY JAMIA MILLIA ISLAMIA CERTIFICATE This is to certify that the project titled DESIGN OF LOW POWER SRAM CELL WITH IMPROVED STABILITY submitted in partial fulfillment of the requirements of the award of the degree of Master of Technology in Control and Instrumentation System by JAVED AKHTAR ANSARI (13CIS-07) is a bona fide record of the candidate s own work carried out by him/her under my supervision and guidance. This work has not been submitted earlier in any university or institute for the award of any degree to the best of my knowledge. Professor A. Q. Ansari (Supervisor) Department of Electrical Engineering Faculty of Engineering and Technology Jamia Millia Islamia New Delhi (India) Prof. Majid Jamil (HOD) 2

3 ACKNOWLEDGEMENT I thank almighty Allah (swt) who is the source of all type of knowledge and deserves all kind of praises and thanks. It is my proud privilege to express deep sense of gratitude, heartfelt regards, appreciation and indebtedness to my supervisor Prof. A. Q. Ansari, Department of Electrical Engineering, Faculty of Engineering & Technology, Jamia Millia Islamia for his invaluable guidance, deep-rooted interest, inspiration & continuous encouragement throughout the project work. He gave me the opportunity to work on such an interesting theme and he has essentially contributed to this work through his guidance and encouragement. Words do not come easy and I found myself in difficult position of attempting to express my deep indebtedness to all Faculty Members of Electrical Engineering Department. I am very thankful to Dr. M. S. Hashmi, IIIT Delhi, for his support and guidance I owe my heartfelt regards to my parents, my brother and my friends who have been my constant source of inspiration, motivation and encouragement. Javed Akhtar Ansari Roll no. 13MCIS-07 M. Tech (CIS) Department of Electrical Engineering Faculty of Engineering and Technology Jamia Millia Islamia New Delhi (India) 3

4 PARTICULARS LIST OF TABLES LIST OF FIGURES ABBREVIATIONS ABSTRACT CONTENTS PAGE NO vi vi viii ix CHAPTER 1 INTRODUCTION Background Motivation Literature Review Objective CHAPTER 2 THEORATICAL BACKGROUND Introduction SRAM SRAM CELL Read operation Write operation Cell stability Performance parameters of SRAM cell Calculation of Static Noise Margin CHAPTER 3 POWER CONSIDERATION IN SRAM CELL Low power techniques in SRAM memory Gate clocking Multi-V th optimization Multi supply voltage Dominant leakage mechanism in CMOS transistors. 21 4

5 3.2.1 Junction leakage Gate induced drain leakage Gate direct tunneling leakage Subthreshold leakage 23 CHAPTER 4 SIMULATION OF SRAM CELLS Software tool Schematic and working of 6T SRAM cell Output waveform of 6T SRAM cell Schematic and working of 8T SRAM cell Output waveform of 8T SRAM cell Schematic and working of 9T SRAM cell Output waveform of 9T SRAM cell Schematic and working of proposed 7T SRAM cell Output waveform of proposed 7T SRAM cell Result CHAPTER 5 CONCLUSION AND FUTURE SCOPE Conclusion Future work REFERENCES 41 APPENDIX A 45 5

6 LIST OF TABLES Table Table LIST OF FIGURES Fig. 1.1 Transistors in Intel microprocessors [Intel10]... 3 Fig 2.1 Categories of memory arrays. 11 Fig. 2.2 Memory array architecture 12 Fig T SRAM cell 13 Fig. 2.4 Read operation for 6T SRAM cell.. 14 Fig 2.5 Write operation for 6T SRAM cell. 15 Fig 2.6 (a) Cross-coupled inverters with noise sources for hold margin. 16 Fig 2.6 (b) Butterfly diagram indicating hold margin.. 16\ Fig 2.7 (a) Cross-coupled inverters with noise sources for read margin. 17 Fig 2.7 (b) Butterfly diagram indicating read margin.. 17 Fig 2.8 (a) Cross-coupled inverters with noise sources for write margin. 18 Fig 2.8 (b) Butterfly diagram indicating write margin.. 18 Fig 3.1 Total power Fig 3.2 Clock gating. 20 Fig 3.3 Multi-V th optimization. 20 Fig 3.4 Main source of leakage current 21 Fig 4.1 Schematic of basic 6T CMOS SRAM cell.. 25 Fig 4.2 Output waveform of 6T SRAM cell during read 26 Fig 4.3 Output waveform of 6T SRAM cell during write 27 Fig 4.4 Hold SNM of 6T SARM cell 27 Fig 4.5 Read SNM of 6T SARM cell 28 Fig 4.6 Write SNM of 6T SARM cell 28 Fig 4.7 Schematic of 8T SRAM cell. 29 Fig 4.8 Output waveform of 8T SRAM cell during read. 30 6

7 Fig 4.9 Output waveform of 8T SRAM cell during write 30 Fig 4.10 Hold SNM of 8T SARM cell.. 31 Fig 4.11 Read SNM of 8T SARM cell.. 31 Fig 4.12 Write SNM of 8T SARM cell.. 31 Fig 4.13 Schematic of 9T SRAM cell Fig 4.14 Output waveform of 9T SRAM cell during read 33 Fig 4.15 Output waveform of 9T SRAM cell during write 33 Fig 4.16 Hold SNM of 9T SARM cell 34 Fig 4.17 Read SNM of 9T SARM cell 34 Fig 4.18 Write SNM of 9T SARM cell 34 Fig 4.19 Schematic of proposed 7T SRAM cell Fig 4.20 Output waveform of proposed 7T SRAM cell during read.. 36 Fig 4.21 Output waveform of proposed 7T SRAM cell during write.. 37 Fig 4.22 Hold SNM of proposed 7T SARM cell.. 37 Fig 4.23 Read SNM of proposed 7T SARM cell 38 Fig 4.24 Write SNM of proposed 7T SARM cell

8 ABBREVIATIONS RAM SRAM DRAM ROM EPROM EEPROM SDRAM MRAM nmos pmos SoC USRS LPRS WL BL BLB SNM Random Access Memory Static Random Access Memory Dynamic Random Access Memory Read Only Memory Erasable Programmable Read Only Memory Electrically Erasable Programmable Read Only Memory Synchronous Dynamic Random Access Memory Magneto resistive RandomAccess Memory n-type MOSFET p-type MOSFET System on Chip Upper Supply Rejection Scheme Lower Potential Raising Scheme Word Line Bit line Bit line bar Static Noise Margin 8

9 ABSTRACT The need for low power integrated circuits is well known because of their extensive use in the electronic portable equipments. On chip SRAMs (Static Random Access Memory) determine the power dissipation of SoCs (System on Chips) in addition to its speed of operation. Hence it is very important to have low power SRAMs. From the last more than five decades we are scaling down the size of the CMOS devices to make the devices portable and compact in size and to get better performance in terms of access time, power dissipation, delay etc. Thus the demand for low size and low power memory has increased. Working on low supply voltage and leakage energy has become main concern as the power consumption can be reduced significantly. As the IC process technology scales, the oxide thickness and operating voltage continues to decrease. Lower operating voltage will lower the stability of SRAM cell resulting in lower value of static noise margin. Power consumption and the speed are the major factors of concern for designing a chip along with the leakage power. The consumption of power and speed of SRAMs are some important issues among a number of factors that provides a solution which describes multiple designs that minimize the consumption of power and this article is also based on that. This article presents the simulation of 6T, 8T and 9T SRAM cells using low power reduction techniques and develops a modified model that provides the consumer with a product that costs less and having reduced power delay product. We, in our work, have designed and compared SRAM cells under different configurations (6T, 8T & 9T) on the basis of read and write delay, leakage power consumption and stability i.e., noise margins. We have also proposed a 7T SRAM cell which has better performance metrics with existing memory cells. All the simulation work had been carried out using Eldo SPICE tool of Mentor Graphics. 9

10 CHAPTER 1 INTRODUCTION 1.1 BACKGROUND The scaling of semiconductor process technologies has been continuing for more than five decades. Advancements in process technologies are the fuel that has been moving the semiconductor industry. In response to growing customer demand for enhanced performance and functionality at reduced cost, a new process technology generation has been introduced by the semiconductor industry every two to three years during the past four decades. Both the performance and the complexity of integrated circuits have grown dramatically since the invention of the integrated circuit in Microphotographs of the first monolithic integrated circuit (Fairchild Semiconductor, 1959), the first microprocessor (Intel 4004, 1971), and a recent microprocessor (Intel Pentium 4, 2002) are shown in Figure 1.1. Technology scaling reduces the delay of the circuit elements. The density and number of transistors on an IC are increased by scaling the feature size. By utilizing this growing number of available transistors in each new process technology, novel circuit techniques and micro-architectures can be employed. In 1958, Jack Kilby built the first integrated circuit flip-flop with two transistors at Texas Instruments. In 2008, Intel s Itanium microprocessor contained more than 2 billion transistors and a 16 Gb Flash memory contained more than 4 billion transistors. This corresponds to a compound annual growth rate of 53% over 50 years. No other technology in history has sustained such a high growth rate lasting for so long. This incredible growth has come from steady miniaturization of transistors and improvements in manufacturing processes. Most other fields of engineering involve tradeoffs between performance, power, and price. However, as transistors become smaller, they also become faster, dissipate less power, and are cheaper to manufacture. This synergy has not only revolutionized electronics, but also society at large. The processing performance once dedicated to secret government supercomputers is now available in disposable cellular telephones. The memory once needed for an entire company s 10

11 accounting system is now carried by a teenager in her ipod. Improvements in integrated circuits have enabled space exploration, made automobiles safer and more fuel efficient, revolutionized the nature of warfare, brought much of mankind s knowledge to our Web browsers, and made the world a flatter place. Transistors can be viewed as electrically controlled switches with a control terminal and two other terminals that are connected or disconnected depending on the voltage or current applied to the control. Soon after inventing the point contact transistor, Bell Labs developed the bipolar junction transistor. Bipolar transistors were more reliable, less noisy, and more power-efficient. Early integrated circuits primarily used bipolar transistors. Bipolar transistors require a small current into the control (base) terminal to switch much larger currents between the other two (emitter and collector) terminals. The quiescent power dissipated by these base currents, drawn even when the circuit is not switching, limits the maximum number of transistors that can be integrated onto a single die. By the 1960s, Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) began to enter production. MOSFETs offer the compelling advantage that they draw almost zero control current while idle. They come in two flavors: nmos and pmos, using n-type and p-type silicon, respectively. The original idea of field effect transistors dated back to the German scientist Julius Lilienfield in 1925 [US patent 1,745,175] and a structure closely resembling the MOSFET was proposed in 1935 by Oskar Heil [British patent 439,457], but materials problems foiled early attempts to make functioning devices. In 1963, Frank Wanlass at Fairchild described the first logic gates using MOSFETs [Wanlass63]. Fairchild s gates used both nmos and pmos transistors, earning the name Complementary Metal Oxide Semiconductor, or CMOS. The circuits used discrete transistors but consumed only nanowatts of power, six orders of magnitude less than their bipolar counterparts. In 1965, Gordon Moore observed that plotting the number of transistors that can be most economically manufactured on a chip gives a straight line on a semi logarithmic scale [Moore65]. At the time, he found transistor count doubling every 18 months. This observation has been called Moore s Law and has become a self-fulfilling prophecy. Figure 1.1 shows that 11

12 the number of transistors in Intel microprocessors has doubled every 26 months since the invention of the Fig. 1.1 Transistors in Intel microprocessors [Intel10] 12

13 1.2 MOTIVATION Memory is used widely in all electrical systems: mainframes, microcomputers and cellular phones, etc. The growing demand of portable battery operated systems has made energy efficient processors a necessity. The performance of these devices is limited by the size, weight and lifetime of batteries. Serious reliability problems, increased design costs and battery-operated applications prompted the IC design community to look more aggressively for new approaches and methodologies that produce more power-efficient designs, which means significant reductions in power consumption for the same level of performance. Memory circuits form an integral part of every system design as Dynamic RAMs, Static RAMs, Ferroelectric RAMs, ROMs or Flash Memories, significantly contributing to the system level power consumption. Reducing the power dissipation in memories can significantly improve the system powerefficiency, performance, reliability and overall costs. RAMs have experienced a very rapid development of low-power low-voltage memory design during recent years due to an increased demand for notebooks, laptops, hand-held communication devices and IC memory cards. There are various approaches that are adopted to reduce power dissipation, like design of circuits with power supply voltage scaling, power gating and drowsy method. Lower power supply voltage reduces the dynamic power in quadratic fashion and leakage power in exponential way. But power supply voltage scaling results in reduced noise margin. Many SRAM arrays are based on minimizing the active capacitance and reducing the swing voltage. In sub-100nm region leakage currents are mainly due to gate leakage and sub threshold leakage current. High dielectric constant gate technology decreases the gate leakage current. Forward body biasing methods and dual Vt techniques are used to reduce sub threshold leakage current. In sub threshold SRAMs power supply voltage (VDD) is lower than the transistor threshold voltage (Vt) and the sub threshold leakage current is the operating current. 13

14 1.3 LITERATURE REVIEW Zhu and Kursun, 2014 [1] : Conventional Static Random Access Memory (SRAM) cells suffer from an intrinsic data instability problem due to directly-accessed data storage nodes during a read operation. Noise margins of memory cells further shrink with increasing variability and decreasing power supply voltage in scaled CMOS technologies. A seven-transistor (7T), an eight-transistor (8T), a nine-transistor (9T), and 3 conventional six-transistor (6T) memory circuits are characterized for layout area, data stability, write voltage margin, data access speed, active power consumption, idle mode leakage currents, and minimum power supply voltage in this paper. A comprehensive electrical performance metric is evaluated to compare the memory cells considering process parameter and supply voltage fluctuations. The triple-threshold-voltage 8T and 9T SRAM cells provide up to 2.5x stronger data stability and 765.9x higher overall electrical quality as compared to the traditional 6T SRAM cells in a TSMC 65 nm CMOS technology. Hussain and Jahinuzzaman, 2012 [2] : In this work, a gated ground SRAM architecture based on a seven transistor (7T) bit-cell is proposed. The proposed cell shows higher data stability and yield under varying process, voltage, and temperature (PVT) conditions than the conventional 6T cell. A single-ended sense amplifier is also presented to read from the proposed cell while a unique write mechanism is used to reduce the write power to less than half of the write power of the 6T cell. The proposed cell consumes similar silicon area and leakage power as the 6T cell when laid out and simulated using a commercial 65-nm CMOS technology. The ground gating is done by selectively controlling the column virtual ground (CVG) of accessed word in a row. This significantly reduces the leakage power consumption and enables implementing multiple words per row, which lowers multiple-bit data upset in the event of radiation induced single event upset or soft error. In addition, the proposed cell inherently has a 30% larger soft error critical charge, making its soft error rate (SER) less than the half of that of the 6T cell. Seevinck, 1987 [3] : The stability of both resistor-load (R-load) and full-cmos SRAM cells is investigated analytically as well as by simulation. Explicit analytic expressions for the staticnoise margin (SNM) as a function of device parameters and supply voltage are derived. The expressions are useful in predicting the effect of parameter changes on the stability as well as in 14

15 optimizing the design of SRAM cells. An easy-to-use SNM simulation method is presented, the results of which are in good agreement with the results predicted by the analytic SNM expressions. It is further concluded that full-cmos cells are much more stable than R-load cells at a low supply voltage. Mitra, 2014 [4] : Speed, power consumption and area, are some of the most important factors of concern in modern day memory design. As we move towards Deep Sub-Micron Technologies, the problems of leakage current, noise and cell stability due to physical parameter variation becomes more pronounced. In this paper we have designed an 8T Read Decoupled Dual Port SRAM Cell with Dual Threshold Voltage and characterized it in terms of read and write delay, read and write noise margins, Data Retention Voltage and Leakage Current. Read Decoupling improves the Read Noise Margin and static power dissipation is reduced by using Dual-Vt transistors. The results obtained are compared with existing 6T, 8T, 9T SRAM Cells, which shows the superiority of the proposed design. The Cell is designed and simulated in TSPICE using 90nm CMOS process. Athe and Gupta, 2009 [5] : Data retention and leakage current reduction are among the major area of concern in today s CMOS technology. In this paper 6T, 8T and 9T SRAM cell have been compared on the basis of read noise margin (RNM), write noise margin (WNM), read delay, write delay, data retention voltage (DRV), layout and parasitic capacitance. Corner and statistical simulation of the noise margin has been carried out to analyze the effect of intrinsic parameter fluctuations. Both 8T SRAM cell and 9T SRAM cell provides higher read noise margin (around 4 times increase in RNM) as compared to 6T SRAM cell. Although the size of 9T SRAM cell is around 1.35 times higher than that of the 8T SRAM cell but it provides higher write stability. Due to single ended bit line sensing the write stability of 8T SRAM cell is greatly affected. The 8T SRAM cell provides a write 1 noise margin which is approximately 3 times smaller than that of the 9T SRAM cell. The data retention voltage for 8T SRAM cell was found to be 93.64mV while for 9T SRAM cell it was 84.5mV and for 6T SRAM cell it was 252.3mV. Read delay for 9T SRAM cell is 98.85ps while for 6T SRAM cell it is 72.82ps and for 8T SRAM cell it is 77.72ps. The higher read delay for 9T SRAM cell is attributed to the fact that dual threshold voltage technology has been in it in order to reduce the leakage current. Write delay for 9T 15

16 SRAM cell was found to be 10ps, 45.47ps for 8T SRAM cell and 8.97ps for 6T SRAM cell. The simulation has been carried out on 90nm CMOS technology. Mohammad, 2011 [6]: Leakage power becomes big percentage of total active power especially for small geometry CMOS technology. It is estimated that 20-50% of total average power during normal operation lost to leakage power. Leakage power is even more important for mobile devices where ideal time is long and battery life is important. This paper presents a low leakage SRAM cell and array architecture targeting high performance, low power embedded memory. The proposed novel 7-Transistor (7T) based memory provides 50% lower leakage power compare to 8T cell and 30% faster access time than traditional 6-Transistor (6T) SRAM cell with increased area of20% compared to the compact 6T cell. All comparisons are based on 28nm foundry low power process technology. Cheng and Huang, 2005 [7]: This paper presents a low-power SRAM design with quiet-bitline architecture by incorporating two major techniques. Firstly, the authors use a one-side driving scheme for the write operation to prevent the excessive full-swing charging on the bitlines. Secondly, they use a precharge free pulling scheme for the read operation so as to keep all bitlines at low voltages at all times. SPICE simulation on a 2K-bit SRAM macro shows that such architecture can lead to a significant 84.4% power reduction over a self-designed baseline lowpower SRAM macro. W.Mann et. al., 2010 [8]: Large scale 6T SRAM beyond 65 nm will increasingly rely on assist methods to overcome the functional limitations associated with scaling and the inherent read stability/write margin trade off. The primary focus of the circuit assist methods has been improved read or write margin with less attention given to the implications for performance. In this work, they introduce margin sensitivity and margin/delay analysis tools for assessing the functional effectiveness of the bias based assist methods and show the direct implications on voltage sensitive yield. A margin/delay analysis of bias based circuit assist methods is presented, highlighting the assist impact on the functional metrics, margin and performance. A means of categorizing the assist methods is developed to provide a first order understanding of the underlying mechanisms. The analysis spans four generations of low power technologies to show 16

17 the trends and long term effectiveness of the circuit assist techniques in future low power bulk technologies. Ming, 2005 [9]: This paper describes a low-power write scheme by adopting charge sharing technique. By reducing the bitlines voltage swing, the bitlines dynamic power is reduced. The memory cell's static noise margin (SNM) is discussed to prove it is a feasible scheme. Simulation results show compare to conventional SRAM, in write cycle this SRAM saves more than 20% dynamic power. Itoh, 1995 [10]: Trends in low-power circuit technologies of CMOS RAM chips are reviewed in terms of three key issues: charging capacitance, operating voltage, and dc current. The discussion includes a general description of power sources in a RAM chip, and covers both DRAM s and SRAM s. In DRAM s, successive circuit advancements have produced a power reduction equivalent to two to three orders of magnitude over the last decade for a fixed memory capacity chip. Coupled with the low-power advantage of CMOS circuits, two technologies have been the major contributors to power reduction: lower charging capacitance due to partial activation of multi-divided arrays that use multi-divisions of data and word lines and lower operating voltage resulting from external power supply reduction, half-vdd pre-charging, and on-chip voltage down converting scheme. In SRAM s, partial activation of a multi-divided word line drastically reduces the dc current from the data-line load to the selected cell. In addition to advances in the sense amplifier circuit, an auto power down scheme that uses address transition detection for word driver and column circuitry further reduces the dc current. It is also shown that to design ultralow voltage DRAM s and SRAM s, the application of sub threshold current reduction circuits (such as source-gate back biasing) to cell and iterative circuit blocks will be indispensable in the future. Aly and Bayoumi, 2014 [11] : On-chip cache consumes a large percentage of the whole chip area and expected to increase in advanced technologies. Charging/discharging large bit lines capacitance represents a large portion of power consumption during a write operation. We propose a novel write mechanism which depends only on one of the two bit lines to perform a write operation. Therefore, the proposed 7T SRAM cell reduces the activity factor of discharging 17

18 the bit line pair to perform a write operation. Experimental results using HSPICE simulation shows that the write power saving is at least 49%. Both read delay and static noise margin are maintained after carefully sizing the cell transistors. Karimi and Alimoradi, 2011 [12]: Rapid growth in semiconductor technology has led to shrinking of feature sizes of transistors using deep submicron (DSM) process. As MOS transistors enter deep submicron sizes, undesirable consequences regarding power consumption arise. Until recently, dynamic or switching power component dominated the total power dissipated by an IC. Voltage scaling is perhaps the most effective method to decrease dynamic power due to the square law dependency of digital circuit active power on the supply voltage. As a result, this demands a reduction of threshold voltage to maintain performance. Low threshold voltage results in an exponential increase in the sub-threshold leakage current. On the other hand as technology scales down, shorter channel lengths result in increased sub-threshold leakage current through an off transistor. Therefore, in DSM process static or leakage power becomes a considerable proportion of the total power dissipation. For these reasons, static power consumption, i.e. leakage power dissipation, has become a significant portion of total power consumption for current and future silicon technologies. 18

19 1.4 OBJECTIVE To design low power SRAM memory cells of different configurations like 6T, 8T and 9T (where T represents the transistor) and compare their performance parameters like- - Read and write delay - Leakage power consumption - Static noise margins (during hold, read and write) Lowering of supply and threshold voltages to satisfy enhanced Performance requirements with a limited power Consumption budget causes significant degradation in SRAM data stability with the scaling of CMOS technology. Noise margins of memory cells further shrink with increasing process parameter and supply voltage fluctuations. So the pull-down transistors in the crosscoupled inverters are typically strengthened as compared to the bitline access transistors for enhancing the read data stability in conventional 6T SRAM cells. An alternative way to enhance data stability is to modify the structure of the memory cell transistor network. For low power operation power wastage during bitlines charging and discharging can be minimized by using lesser number of bitlines. To achieve this a 7T SRAM cell is proposed using single bitline. 19

20 CHAPTER 2 THEORATICAL BACKGROUND 2.1 INTRODUCTION Memory arrays often account for the majority of transistors in a CMOS system-on-chip. Arrays may be divided into categories as shown in Figure 2.1. Fig 2.1 Categories of memory arrays Random access memory is accessed with an address and has a latency independent of the address. In contrast, serial access memories are accessed sequentially so no address is necessary. Content addressable memories determine which address(es) contain data that matches a specified key. Random access memory is commonly classified as read-only memory (ROM) or read/write memory (confusingly called RAM). Even the term ROM is misleading because many ROMs can be written as well. A more useful classification is volatile vs. nonvolatile memory. Volatile memory retains its data as long as power is applied, while nonvolatile memory will hold data 20

21 indefinitely. RAM is synonymous with volatile memory, while ROM is synonymous with nonvolatile memory. Like sequencing elements, the memory cells used in volatile memories can further be divided into static structures and dynamic structures. Static cells use some form of feedback to maintain their state, while dynamic cells use charge stored on a floating capacitor through an access transistor. Static RAMs (SRAMs) are faster and less troublesome, but require more area per bit than their dynamic counterparts (DRAMs). A memory array contains 2 n words of 2 m bits each. Each bit is stored in a memory cell. Figure 2.2 shows the memory array architecture. The row decoder uses the address to activate one of the rows by asserting the word line. During a read operation, the cells on this word line drive the bit lines, which may have been conditioned to a known value in advance of the memory access. The column circuitry may contain amplifiers or buffers to sense the data. A typical memory array may have thousands or millions of words of only 8 64 bits each, which would lead to a tall, skinny layout that is hard to fit in the chip floor plan and slow because of the long vertical wires. Therefore, the array is often folded into fewer rows of more columns. After folding, each row of the memory contains 2 k words, so the array is physically organized as 2 n k rows of 2 m+k columns or bits. Figure 2.2 shows a two-way fold (k = 1) with eight rows and eight columns. The column decoder controls a multiplexer in the column circuitry to select 2 m bits from the row as the data to access. Larger memories are generally built from multiple smaller sub arrays so that the word lines and bit lines remain reasonably short, fast, and low in power dissipation. Fig. 2.2 Memory array architecture 21

22 2.2 SRAM Static RAMs use a memory cell with internal feedback that retains its value as long as power is applied. It has the following attractive properties: - Denser than flip-flops - Compatible with standard CMOS processes - Faster than DRAM - Easier to use than DRAM For these reasons, SRAMs are widely used in applications from caches to register files to tables to scratchpad buffers. The SRAM consists of an array of memory cells along with the row and column circuitry. This section begins by examining the design and operation of each of these components. It then considers important special cases of SRAMs, including multiported register files, large SRAMs and subthreshold SRAMs SRAM CELL A SRAM cell needs to be able to read and write data and to hold the data as long as the power is applied. An ordinary flip-flop could accomplish this requirement, but the size is quite large. Figure 2.3 shows a standard 6-transistor (6T) SRAM cell that can be an order of magnitude smaller than a flip-flop. The 6T cell achieves its compactness at the expense of more complex peripheral circuitry for reading and writing the cells [5]. Fig T SRAM cell This is a good trade-off in large RAM arrays where the memory cells dominate the area. The small cell size also offers shorter wires and hence lower dynamic power consumption. The 6T SRAM cell contains a pair of weak cross-coupled inverters holding the state and a pair of access transistors to read or write the state. The positive feedback corrects disturbances caused by leakage or noise. The cell is written by driving the desired value and its complement onto the 22

23 bitlines, bit and bit_b, then raising the wordline, word. The new data overpowers the crosscoupled inverters. It is read by precharging the two bitlines high, then allowing them to float. When word is raised, bit or bit_b pulls down, indicating the data value. The central challenges in SRAM design are minimizing its size and ensuring that the circuitry holding the state is weak enough to be overpowered during a write, yet strong enough not to be disturbed during a read READ OPERATION Figure 12.4 shows a SRAM cell being read. The bitlines are both initially floating high. Without loss of generality, assume Q is initially 0 and thus Q_b is initially 1. Q_b and bit_b both should remain 1. When the wordline is raised, bit should be pulled down through driver and access transistors D1 and A1. At the same time bit is being pulled down, node Q tends to rise. Q is held low by D1, but raised by current flowing in from A1. Hence, the driver D1 must be stronger than the access transistor A1. Specifically, the transistors must be ratioed such that node Q remains below the switching threshold of the P2/D2 inverter. This constraint is called read stability. Waveforms for the read operation are shown in Figure 12.4(b) as a 0 is read onto bit. Observe that Q momentarily rises, but does not glitch badly enough to flip the cell. Fig. 2.4 Read operation for 6T SRAM cell 23

24 2.2.3 WRITE OPERATION Figure 2.5 shows the SRAM cell being written. Again, assume Q is initially 0 and that we wish to write a 1 into the cell. bit is precharged high and left floating. bit_b is pulled low by a write driver. We know on account of the read stability constraint that bit will be unable to force Q high through A1. Hence, the cell must be written by forcing Q_b low through A2. P2 opposes this operation; thus, P2 must be weaker than A2 so that Q_b can be pulled low enough. This constraint is called writability. Once Q_b falls low, D1 turns OFF and P1 turns ON, pulling Q high as desired. Fig 2.5 Write operation for 6T SRAM cell CELL STABILITY To ensure both read stability and writability, the transistors must satisfy ratio constraints. The nmos pulldown transistor in the cross-coupled inverters must be strongest. The access transistors are of intermediate strength, and the pmos pull up transistors must be weak. To achieve good layout density, all of the transistors must be relatively small. For example, the pull downs could be 8/2 λ, the access transistors 4/2, and the pull ups 3/3. The SRAM cells must operate correctly at all voltages and temperatures despite process variation. The stability and writability of the cell are quantified by the hold margin, the read margin, and the write margin, which are determined by the static noise margin of the cell in its various modes of operation. A cell should have two stable states during hold and read operation, and only one stable state during write. 24

25 2.3 PERFORMANCE PARAMETERS OF SRAM Read delay: Read delay is the delay involved in allowing the bit lines to discharge by about 10% of the peak value or the delay between the application of the WL signal and the response time of the sense amplifier. Write delays: It is the delay between the applications of the word line WL signal and the time at which the data is actually written. Leakage power: The power consumed by a device not related to state changes (also referred to as static power). Leakage power is actually consumed when a device is both static and switching, but generally the main concern with leakage power is when the device is in its inactive state, as all the power consumed in this state is considered wasted power. Static Noise Margin: The static noise margin (SNM) measures how much noise can be applied to the inputs of the two cross-coupled inverters before a stable state is lost (during hold or read) or a second stable state is created (during write) [3]. 2.4 CALCULATION OF STATIC NOISE MARGIN Hold Margin: Figure 2.6 (a) shows the test circuit for determining the hold margin (i.e., the static noise margin while the cell is holding its state and being neither read nor written. A noise source V n is applied to each of the cross-coupled inverters. The access transistors are OFF and do not affect the circuit behavior. Fig2.6 (a) Cross-coupled inverters with noise sources for hold margin (b) Butterfly diagram indicating hold margin The static noise margin can be determined graphically from a butterfly diagram shown in Figure 2.6 (b). The plot is generated by setting V n = 0 and plotting V 2 against V 1 (curve I) and V 1 25

26 against V 2 (curve II). If the inverters are identical, the DC transfer curves are mirrored across the line of V 1 = V 2. The butterfly plot shows two stable states (with one output low and the other high) and one meta stable state (with V 1 = V 2 ). The static noise margin is determined by the length of the side of the largest square that can be inscribed between the curves. If the inverters are identical, the butterfly diagram is symmetric, so the high and low static noise margins are equal. If the inverters are not identical, the static noise margin is the lesser of the two cases. Read margin: When the cell is being read, the bitlines are initially precharged and the access transistor tends to pull the low node up. This distorts the voltage transfer characteristics. The static noise margin under these circumstances is called the read margin and is smaller than the hold margin. It can be obtained by performing the same simulation on the circuit in Figure 2.7(a) with the bitlines tied to VDD. Figure 2.7 (b) shows the results. Fig2.7 (a) Cross-coupled inverters with noise sources for read margin (b) Butterfly diagram indicating read margin The read margin depends on the relative strength of the pulldown transistor D to the access transistor A. The ratio of these two transistors widths is called the beta ratio or cell ratio. Write margin: When the cell is being written, the access transistor A must overpower the pull up P to create a single stable state. The write margin is determined by a similar simulation as read margin, with one access transistor pulling to 0 and the other to 1. If V n is too large, a second stable state will exist, preventing the function of writes. Figure 2.8 (b) shows the characteristics while bit is held at 0. The write margin is the size of the smallest square inscribed between the two curves. 26

27 Fig2.8 (a) Cross-coupled inverters with noise sources for write margin (b) Butterfly diagram indicating write margin 27

28 CHAPTER 3 POWER CONSIDERATION IN SRAM CELL Power consumption has two aspects: Dynamic power The power that is consumed by a device when it is actively switching from one state to another. Dynamic power consists of switching power, consumed while charging and discharging the loads on a device, and internal power (also referred to as short circuit power), consumed internal to the device while it is changing state Figure 3.1: Total power Leakage power The power consumed by a device not related to state changes (also referred to as static power). Leakage power is actually consumed when a device is both static and switching, but generally the main concern with leakage power is when the device is in its inactive state, as all the power consumed in this state is considered wasted power. Various techniques have been developed to reduce both dynamic and leakage power. 3.1 LOW POWER TECHNIQUES IN SRAM MEMORY: Clock gating The disconnecting of the clock from a device it drives when the data going into the device is not changing. This technique is used to minimize dynamic power [6]. 28

29 Fig. 3.2 Clock gating Multi-Vth optimization The replacement of faster Low-V th cells, which consume more leakage power, with slower High-V th cells, which consume less leakage power. Since the High- V th cells are slower, this swapping only occurs on timing paths that have positive slack and thus can be allowed to slow down( Fig. 3.3 [12]). Figure: 3.3 Multi Vth optimization As technologies have shrunk, leakage power consumption has grown exponentially, thus requiring more aggressive power reduction techniques to be used. Similarly, clock frequency increases have caused dynamic power consumption of the devices to outstrip the capacity of the power networks that supply them, and this becomes especially acute when high power consumption occurs in very small geometries, as this is a power density issue as well as a power consumption issue. 29

30 3.1.3Multi supply voltage - Multiple voltage rails (multi-v dd ) can be supplied to a design to impact power and performance. A higher voltage yields a faster the circuit, but with higher the dynamic power. In many designs, only discrete portions of the design need to run at high speed. Other portions may only operate at lower speeds, and thus require lower voltages (and therefore consume less power [12]). 3.2 DOMINANT LEAKAGE MECHANISM IN CMOS TRANSISTOR: There are four main source of leakage current in a CMOS transistor as shown in figure: 1. Reverse biased junction leakage (Irev) 2. Gate induced drain leakage (IGIDL) 3. Gate direct tunneling leakage (IG) 4. Subthreshold leakage (Isub) Figure 3.4 Main source of leakage current Junction leakage: The junction leakage occurs from the source or drain to the substrate through the reverse biased diodes when a transistor is OFF. A reverse-biased P-N junction leakage has two main components: one in minority carrier diffusion/drift near the edge of the depletion region, the other is due the electron-hole pair generation in the depletion region of the reverse biased junction. For example in the case of inverter with a low input voltage, the NMOS 30

31 is OFF, PMOS is ON and the output voltage is high. Subsequently the drain to substrate voltage of the OFF NMOS transistor is equal to the supply voltage. This result in a leakage current from the drain to the substrate through the reverse-biased diode. The magnitude of the diode leakage current depends on the area of the drain diffusion and the leakage current density which is in turn determined by the doping concentration. If both the n and p regions are heavily doped, band to band tunneling (BTBT) dominates the p-n junction leakage. Junction leakage has a very high dependency on the temperature. However junction reverse leakage components from the both source drain diodes and the well diodes are generally negligible with respect to the other three leakage components Gate Induced Drain Leakage: The gate induced drain leakage (GIDL) is caused by high field effect in the drain junction of MOS transistors. For a NMOS transistor with grounded gate and drain potential at VDD, a significant band bending in the drain allow electron-hole pair generation through avalanche multiplication and the band to band tunneling. A deep depletion condition is created since the holes are rapidly swept out to the substrate. At the same time electrons are collected by the drain, resulting in GIDL current. This leakage mechanism is made work by high drain to body voltage and high drain to gate voltage. Transistor scaling has led to the increasingly steep halo implants, where the substrate doping at the junction interface is increased, while the channel doping is low. This is done mainly to control punch-through and drain-induced barrier lowering while having a low impact on the carrier mobility in the channel. The resulting steep doping profile at the drain edge increases band to band tunneling current there, particularly a VDB is increased. Thinner oxide and higher supply voltage increases GIDL current Gate Direct Tunneling Leakage: With scaling of the channel length, maintaining good transistor aspect ratio by the comparable scaling of the gate oxide thickness, junction depth and depletion depth are important for ideal MOS transistor behavior. Unfortunately with the technology scaling, maintaining good transistor aspect ratio has been a challenge. In other words, reduction of the vertical dimensions has been harder than that of horizontal dimensions with the silicon oxide gate thickness approaching scaling limits there is now a rapid increase in gate direct tunneling leakage current. 31

32 Due to quantum mechanical and poly silicon gate depletion effects, both the gate charge and inversion layer charge will be located at the finite distance from the oxide channel interface with the charge location being a strong function of bias applied to the gate. The location of the inversion layer in the silicon substrate for a transistor with a typical bias when quantum mechanical effects are taken into account is 1 nm from the oxide channel interface. This increase the effective oxide thickness by 0.3 nm. Taking charge spread on the both sides of the interface along with poly depletion charge the 1nm oxide tunneling limit into an effective oxide thickness of 1.7 nm. To compact this limit researchers have been exploring several alternatives including the use high permittivity gate dielectric, metal gate, novel transistor structure and circuit based techniques. The use of high permittivity gate dielectric will result in thicker and easier to fabricate dielectric for iso- gate oxide capacitance with potential for significant reduction in gate leakage. Identification of a proper high permittivity dielectric material that has good interface state with silicon along with limited gate leakage is in progress. However it has also been shown that use of high permittivity gate dielectric has limited value. In addition novel transistor structure such as self aligned double gate. FinFET and tri-gate MOS transistors that promise better aspect ratio are being explored Subthreshold Leakage: The subthreshold leakage is current flowing from drain to source when a transistor operated in weak inversion region. Unlike the strong inversion region in which the drift-current dominates, the subthreshold conduction is due to the diffusion current of the minority carriers in the channel for a MOS device. For instance, in case of an inverter with a low input voltage, the NMOS is turned off and the output voltage is high. In this case, although VGS is 0V, there is still a current flowing in the channel of the OFF NMOS transistor due to the VDD potential of the VDS. The magnitude of the sub threshold current is a function of temperature, supply voltage, device size and the process parameter out of which the threshold voltage plays a dominant role. For the current CMOS technologies, the sub threshold leakage current, ISUB is much larger than the other leakage current components. This is much larger than the other leakage current 32

33 I = W L μv Ce 1 e components. This is mainly because VT is lower in modern device Equation describes sub threshold current in terms of other device parameters Here W and L denotes the transistor width and length, µ denotes the carrier mobility V th is the thermal voltage. V = 3.3 POWER REDUCTION IN SRAM CELL: To reduce the power consumption in SRAMs all contributors to the total power must be targeted. The most efficient techniques used in recent memories are:- 1. Capacitance reduction of word-lines and the number of cells connected to them, data lines,1/0 lines and decoders. 2. DC current reduction by using new pulse operation techniques for word-lines, periphery circuits and sense amplifiers. 3. AC current reduction by using new decoding techniques (i.e. multi-stage static CMOS decoding) 4. Operating voltage reduction. 5. Leakage current reduction (in active and standby mode) by utilizing multiple threshold voltage (MT-CMOS) or variable threshold voltage technologies (VT-CMOS). 33

34 CHAPTER 4 SIMULATION OF SRAM CELLS 4.1 SOFTWARE TOOL All the simulations of 6T, 8T and 9T SRAM cells have been carried out on 65nm at Eldo SPICE tool of Mentor graphics. The Eldo analog simulator is the core component of a comprehensive suite of analog and mixed-signal simulation tools. Eldo offers a unique partitioning scheme allowing the use of different algorithms on differing portions of design. It allows the user a flexible control of simulation accuracy using a wide range of device model libraries, and gives a high accuracy yield in combination with high speed and high performance. 4.2 SCHEMATIC AND WORKING OF BASIC 6T CMOS SRAM CELL Figure 3.1 shows the schematic of basic cell structure it consists of six transistors where NMOS_5 and NMOS_6 is called the access transistor and NMOS_1 and NMOS_2 is called the driver transistor and PMOS_1 and PMOS_2 called the load transistor. Vdd supply is 1.2-volt Fig. 4.1 Schematic of basic 6T CMOS SRAM Cell. 34

35 Word line is applied to the gates of both the access transistors. Substrate of P channel transistor is connected to the Vdd supply and substrate of N channel is connected to the ground terminal. To understand the working of basic cell we have to assume the previous state of cell. Basically by this circuit we can do only read operation. By taking the input voltage we can store the value SRAM cell. First we applied the input voltage to the inverter one. Then we got the output of inverter one that is input of the second inverter. After the pass transistor gets turn on by the word selection.the value is passing through the access transistor to the bit line and bit line bar. From the bit line and bit line bar, finally we got output. In this way the read operation gets performed OUTPUT WAVEFORMS OF 6T SRAM CELL READ WAVEFORM Fig 4.2 output waveform of 6T SRAM cell during read 35

36 WRITE WAVEFORM Fig 4.3 output waveform of 6T SRAM cell during write STATIC NOISE MARGINS Fig 4.4 Hold SNM of 6T SRAM cell 36

37 Fig 4.5 Read SNM of 6T SRAM cell Fig 4.6 Write SNM of 6T SRAM cell Current industry standard SRAM cells are composed of six transistors (6T) as shown in Fig.4.1. In a conventional 6T SRAM cell, the data storage nodes (Node 1 and Node 2 ) are directly accessed through the bitline access transistors ( and ) during a read operation. The storage nodes are disturbed due to voltage division between cross-coupled inverters and bitline access transistors while reading. The data is most vulnerable to external noise during a read operation due to the intrinsic disturbance produced by a standard 6T SRAM cell (destructive read) [3]. The pull-down transistors in the cross-coupled inverters are typically strengthened as compared to the bitline access transistors for enhancing the read data stability in conventional 6T SRAM cells. Various data stability enhancement techniques based on dynamically adjusting the strengths of the access and pull-down transistors with specialized peripheral circuitry has been explored. The pros and cons of different read and write assist circuitry are analyzed and qualitatively compared [1]. An alternative way to enhance data stability is to modify the structure of the memory cell transistor network. The data storage nodes are isolated from the bitlines for enhanced data stability during read operations with the eight-transistor (8T) and nine-transistor (9T) SRAM cells. 37

38 4.3 SCHEMATIC AND WORKING OF 8T CMOS SRAM CELL To address the read destructive problem, the read and write operations are separated by adding transistor stack to the conventional 6T SRAM cell, thus it has the area penalty but operates efficiently than the 6T SRAM cell at lower VDD. The schematic of the 8T SRAM cell with transistors sized for a 65-nm CMOS technology is shown below Fig. 4.7 Schematic of 8T CMOS SRAM Cell READ & WRITE OPERATION OF 8T SRAM CELL The disturbance of bit lines during read operation is the primary source of instability problem in SRAM operation. The stability in 8T SRAM cell can be enhanced by isolating the read port from the write bit lines. The 8T SRAM cell composed of conventional 6T SRAM cell for writing operation and a transistor stack, which can be used for read operation. The read and write operations are controlled by separate signals Write Word Line (WWL) and Read Word Line (RWL). During the read operation Read Bit Line (RBL) is pre charged to VDD and WWL is maintained at GND. Depends on the value stored in cross coupled inverters RBL, discharges (or) maintained at VDD. If RBL discharges, it can be treated as the stored bit is 1, otherwise it is 0. The storage nodes are completely isolated from the write bit lines, which can increases the 38

39 stability of the SRAM cell [3]. During the write operation WBL and WBLB lines are precharged to predetermined values. Then, asserting the write word line WWL and nodes attain the corresponding values from the bit lines. It uses the two additional Word Lines to perform read and write operations, when compared with 6T SRAM cell, which could increase the metal density, wire delay and dynamic power consumption and leakage power OUTPUT WAVEFORMS OF 8T SRAM CELL READ WAVEFORM WRITE WAVEFORM Fig 4.8 output waveform of 8T SRAM cell during read Fig 4.9 output waveform of 8T SRAM cell during write 39

40 STATIC NOISE MARGINS Fig 4.10 Hold SNM of 8T SRAM cell Fig 4.11 Read SNM of 8T SRAM cell Fig 4.12 Write SNM of 8T SRAM cell 40

41 4.4 SCHEMATIC AND WORKING OF 9T CMOS SRAM CELL To address the read destructive problem, the read and write operations are separated by adding transistor stack to the conventional 6T SRAM cell, thus it has the area penalty but operates efficiently than the 6T SRAM cell at lower VDD. The schematic of the 8T SRAM cell with transistors sized for a 65-nm CMOS technology is shown below Fig Schematic of 9T SRAM Cell READ AND WRITE OPERATION OF 9T SRAM CELL The upper sub-circuit of the 9T memory cell is essentially a conventional 6T SRAM cell. The write operation is identical with the conventional 6T SRAM cell. For write operation write signal WWL is set to 1 and read signal RWL is made 0. The lower sub-circuit of the 9T memory cell is a differential read port. Prior to a read operation, both bitlines are precharged to V DD. To start a read operation, the read signal RWL transitions from 0 to 1 and write signal WWL goes to low. One of the bitlines is discharged depending on the data that is stored in the cell. 41

42 4.4.1 OUTPUT WAVEFORMS OF 9T SRAM CELL READ WAVEFORM Fig 4.14 output waveform of 9T SRAM cell during read WRITE WAVEFORM Fig 4.15 output waveform of 9T SRAM cell during write 42

43 STATIC NOISE MARGINS Fig 4.16 Hold SNM of 9T SRAM cell Fig 4.17 Read SNM of 9T SRAM cell Fig 4.18 Write SNM of 9T SRAM cell 43

44 4.5 SCHEMATIC AND WORKING OF PROPOSED 7T SRAM CELL Main objective of proposing this new 7T SRAM cell is to have good Read Stability and static Noise Margins (SNMs). Proposed 7T SRAM cell is shown in fig This new SRAM cell is made up of seven transistors, uses single bit-line (BL), a word line (WL), and a read line (RL). Fig Schematic of proposed 7T SRAM Cell Since 7TSRAM cell uses only one bit line, power required for charging and discharging of one more bitline will be reduced. Hence usage of only one bit line reduces power required to charge and discharge the bit lines approximately to half, because only one bit line is charged during read operation instead of two. The bit line is charged during the write operation about half of the time instead of every time when a write operation is required, here we are assuming equal probability of writing 0 and 1. The proposed 7T SRAM cell uses two transistors N4 and N5 with read-line (RL) for read operation. 44

45 READ AND WRITE OPERATION OF PROPOSED 7T SRAM CELL While writing, the data need to be written will be loaded on bit-line (BL) and then word-line (WL) will be activated. Strong access transistor N3 allows bit line to overpower the cell, so that required data will be written into the cell. To write 1 into the cell, the bit-line (BL) is charged to VDD. If the data need to be written is 0, bit-line should be at logic low, and then word-line (WL) should be pulled to VDD. In write mode read-line (RL) will be inactive (i.e. at logic 0 ). To read data from the cell, initially bit line (BL) is being pre-charged to VDD. After precharging the bit line read line (RL) is activated. Depending upon whether the bit line (BL) discharges or holds the held charge, data stored in the 7T SRAM cell can be decided. If BL discharges after pulling the read line to VDD, it indicates 7T SRAM cell is storing 0 in it. If bit line holds the held charge then the data stored is 1. In read mode (WL) is inactive (i.e. at logic 0 ) OUTPUT WAVEFORMS OF PROPOSED 7T SRAM CELL READ WAVEFORM Fig 4.20 output waveform of proposed 7T SRAM cell during read 45

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA Efficient Power Management Technique for Deep-Submicron Circuits P.Sreenivasulu 1, Ch.Aruna 2 Dr. K.Srinivasa Rao 3, Dr. A.Vinaya babu 4 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA. 2

More information

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER International Journal Of Advance Research In Science And Engineering http:// LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER Raju Hebbale 1, Pallavi Hiremath 2 1,2 Department

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications ABSTRACT Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications Abhishek Sharma,Gunakesh Sharma,Shipra ishra.tech. Embedded system & VLSI Design NIT,Gwalior.P. India

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY Abhishek Sharma 1,Shipra Mishra 2 1 M.Tech. Embedded system & VLSI Design NITM,Gwalior M.P. India

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

Solid State Devices- Part- II. Module- IV

Solid State Devices- Part- II. Module- IV Solid State Devices- Part- II Module- IV MOS Capacitor Two terminal MOS device MOS = Metal- Oxide- Semiconductor MOS capacitor - the heart of the MOSFET The MOS capacitor is used to induce charge at the

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 3 Ch.1 The Evolution of The Microprocessor 17-Feb-15 1 Chapter Objectives Introduce the microprocessor evolution from transistors to

More information

Memory (Part 1) RAM memory

Memory (Part 1) RAM memory Budapest University of Technology and Economics Department of Electron Devices Technology of IT Devices Lecture 7 Memory (Part 1) RAM memory Semiconductor memory Memory Overview MOS transistor recap and

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories

A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories Wasim Hussain A Thesis In The Department of Electrical and Computer Engineering Presented in Partial Fulfillment of the Requirements

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

UNIT 3: FIELD EFFECT TRANSISTORS

UNIT 3: FIELD EFFECT TRANSISTORS FIELD EFFECT TRANSISTOR: UNIT 3: FIELD EFFECT TRANSISTORS The field effect transistor is a semiconductor device, which depends for its operation on the control of current by an electric field. There are

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

MULTI-PORT MEMORY DESIGN FOR ADVANCED COMPUTER ARCHITECTURES. by Yirong Zhao Bachelor of Science, Shanghai Jiaotong University, P. R.

MULTI-PORT MEMORY DESIGN FOR ADVANCED COMPUTER ARCHITECTURES. by Yirong Zhao Bachelor of Science, Shanghai Jiaotong University, P. R. MULTI-PORT MEMORY DESIGN FOR ADVANCED COMPUTER ARCHITECTURES by Yirong Zhao Bachelor of Science, Shanghai Jiaotong University, P. R. China, 2011 Submitted to the Graduate Faculty of the Swanson School

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application Akhilesh Goyal 1, Abhishek Tomar 2, Aman Goyal 3 1PG Scholar, Department Of Electronics and communication, SRCEM Banmore, Gwalior, India

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Architecture of Computers and Parallel Systems Part 9: Digital Circuits

Architecture of Computers and Parallel Systems Part 9: Digital Circuits Architecture of Computers and Parallel Systems Part 9: Digital Circuits Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems Part

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Vipul Bhatnagar, Pradeep Kumar and Sujata Pandey Amity School of Engineering and Technology, Amity University Uttar Pradesh, Noida, INDIA

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2 ISSN 2277-2685 IJESR/October 2014/ Vol-4/Issue-10/682-687 Thota Keerthi et al./ International Journal of Engineering & Science Research DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

SRAM Read-Assist Scheme for Low Power High Performance Applications

SRAM Read-Assist Scheme for Low Power High Performance Applications SRAM Read-Assist Scheme for Low Power High Performance Applications Ali Valaee A Thesis In the Department of Electrical and Computer Engineering Presented in Partial Fulfillment of the Requirements for

More information

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 1 ME, Dept. Of Electronics And Telecommunication,PREC, Maharashtra, India 2 Associate Professor,

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No # 01 Introduction and Course Outline (Refer Slide

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

MOS TRANSISTOR THEORY

MOS TRANSISTOR THEORY MOS TRANSISTOR THEORY Introduction A MOS transistor is a majority-carrier device, in which the current in a conducting channel between the source and the drain is modulated by a voltage applied to the

More information

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI A.Karthik 1, K.Manasa 2 Assistant Professor, Department of Electronics and Communication Engineering, Narsimha Reddy Engineering

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Performance of Low Power SRAM Cells On SNM and Power Dissipation Performance of Low Power SRAM Cells On SNM and Power Dissipation Kanika Kaur 1, Anurag Arora 2 KIIT College of Engineering, Gurgaon, Haryana, INDIA Abstract: Over the years, power requirement reduction

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology K. N. Toosi University of Technology Chapter 7. Field-Effect Transistors By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology http://wp.kntu.ac.ir/faradji/digitalelectronics.htm

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Static Power and the Importance of Realistic Junction Temperature Analysis

Static Power and the Importance of Realistic Junction Temperature Analysis White Paper: Virtex-4 Family R WP221 (v1.0) March 23, 2005 Static Power and the Importance of Realistic Junction Temperature Analysis By: Matt Klein Total power consumption of a board or system is important;

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 Paper Setter Detail Name Designation Mobile No. E-mail ID Raina Modak Assistant Professor 6290025725 raina.modak@tib.edu.in

More information

A Review of Clock Gating Techniques in Low Power Applications

A Review of Clock Gating Techniques in Low Power Applications A Review of Clock Gating Techniques in Low Power Applications Saurabh Kshirsagar 1, Dr. M B Mali 2 P.G. Student, Department of Electronics and Telecommunication, SCOE, Pune, Maharashtra, India 1 Head of

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

Device Technologies. Yau - 1

Device Technologies. Yau - 1 Device Technologies Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Identify differences between analog and digital devices and passive and active components. Explain

More information

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ISSCC 2003 / SESSION 1 / PLENARY / 1.1 ISSCC 2003 / SESSION 1 / PLENARY / 1.1 1.1 No Exponential is Forever: But Forever Can Be Delayed! Gordon E. Moore Intel Corporation Over the last fifty years, the solid-state-circuits industry has grown

More information

A Robust Low Power Static Random Access Memory Cell Design

A Robust Low Power Static Random Access Memory Cell Design Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2018 A Robust Low Power Static Random Access Memory Cell Design A. V. Rama Raju Pusapati Wright State University

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

COMPARISON OF THE MOSFET AND THE BJT:

COMPARISON OF THE MOSFET AND THE BJT: COMPARISON OF THE MOSFET AND THE BJT: In this section we present a comparison of the characteristics of the two major electronic devices: the MOSFET and the BJT. To facilitate this comparison, typical

More information