serial-to-parallel converter

Size: px
Start display at page:

Download "serial-to-parallel converter"

Transcription

1 Rapid single ux quantum fast-packet switching element mitry Y. Zinoviev State University of New York, epartment of Physics Stony Brook, New York ABSRAC We present the design of a Rapid Single-Flux Quantum (RSFQ) N N fast-packet M switching element that can be used in AM packet switches. Using simple 3:5? m niobium-trilayer technology, this device would allow the external exchange rate f e = 10 Gb=sec per switched channel at the internal clock frequency f 0 = 40 GHz. he element structure implies that a separate unit provides the address of the destination channel for each packet and resolves packet contentions. Keywords: superconductivity, RSFQ, AM, fast-packet switch. 1. INROUCION Superconducting electronics based on Josephson eect provides powerful means for ultra-high-performance handling of digital data. Presently the development of this eld is focused on the so-called Rapid Single-Flux Quantum devices. 1 In RSFQ, data are represented as a presence or absence of magnetic ux quanta 0 2:07 10?15 W b between two successive clock pulses, and superconductor quantum interferometers are used for data storage and processing. RSFQ logical units can transfer and process data at rates up to hundreds Gb/s. Because the main dierence between an AM switch and a packet switch used in the computer networks is speed, 2 it is natural to use RSFQ devices in the AM switching. A number of attempts has been made to implement telecommunication equipment in various superconducting technologies. 3{5 Yet, this seems to be the rst purely RSFQ architecture of a bus-type fast-packet switching element 6,7 with time-division multiplexing (M). 2. SWICHING ELEMEN OPERAION OVERVIEW clock out N input channels bit concentrator serial-to-parallel converter frequency multiplier "Slow" clock "Fast" clock ata Address input buffers N output channels clock in Figure 1. Overall structure of an N N switching element. In our M switch, the bit concentrator picks up one bit at a time from one of N input buers in the required order and places it into the corresponding slot of the shared communication bus serial-to-parallel converter (see

2 Figure 1). As soon as all (at most N) bits from the incoming channels are pushed to the converter, its contents is read out in parallel into the output channels. he throughput of the converter denes the overall performance of the switch. he switch operation is controlled by three clock signals. External, or \slow" clock runs at frequency f e. It determines the packet arrival rate in each channel. he same clock signal phase-shifted by 2 (log 2 N) =N controls the output serial-to-parallel interface. he internal shared bus operates at the higher frequency f 0 = N f e. he corresponding internal, or \fast" clock, is produced by the frequency multiplier (see section 3.4). "fast" clock "slow" clock address load input buffer pick-up from 1 pick-up from 2 pick-up from 3 pick-up from 4 output buffer Figure 2. Sample operating sequence of a 4 4 switching element. \address" address at any serial line; \load" signal at any \load" line. he following example (Figure 2) illustrates the time sequence of events in a 4 4 switching element. For the sake of simplicity we assume that the packets are head-aligned, i.e. their rst bits arrive at the same clock period. his has not to be the case in real operation mode, when a newly arrived packet can immediately be routed to the destination channel. One can see that each external \slow" clock derives N internal \fast" clocks. he rst log 2 N \slow" time slots are used to accept the physical address bits followed by the \load" signal. uring the next \slow" clock periods incoming packets are read bit-by-bit, so that only one bit (under the contention-free assumption) is taken from the input buer to the concentrating tree and pushed to the FIFO-like serial-to-parallel converter within each \fast" clock period. Lastly, the (N + 1)th \slow" clock ushes the contents of the converter to the outgoing channels. he switch timing is organized in a counterow pipelined manner: the clock and the data always run in the opposite directions. It takes at most (log 2 N + N) \fast" clock periods to advance a bit from an input buer to the destination slot in the output converter, so that the read-out signal should be delivered to the serial-to-parallel converter at the (log 2 N)th \fast" clock period rather than immediately. his delay aects only the latency of the switch, not its throughput. he proposed switching element requires an external unit ACR (address translator and contention resolver, see Figure 3a) which should extract the VCI/VPI or its equivalent from the packet (cell) header, translate it and provide the translated physical address for each channel via a separate line. he physical address has a predetermined length L = log 2 N bits, yet for the sake of simplicity a signal at an additional line (\load" strobe) indicates the end of the address (see also section 3.1). For the same reason the rst proposed design of the switching element does not have internal congestion control. It is implicitly assumed that the ow of incoming packets is contention-free (this condition can be achieved at the ACR stage, say, by keeping track on the used entries in look-up tables). ue to the M nature of the element, it has a certain limitation on the number of switched channels: N f 0 f e ; (1) However, this constraint can be overcome by organizing several elementary N N switches in more complex hierarchical (N 2 N 2, etc.) switching fabrics (see Figure 3b).

3 clock ACR in clock SN N*N inputs N*N outputs address "load" lines strobes a) out clock b) 2*log(N) address lines Figure 3. a) op-level conguration of an AM switch (simplied). ACR address translation and contention resolution module, SN switching network or packet-switching element. b) Building a N 2 N 2 switching network from N N elements INPU BUFFERS 3. SWICH PARS An input buer is associated with each incoming channel. It performs simultaneously buering and routing functions which are controlled by dierent (\slow" and \fast") clock signals. he input buer consists of three major parts (see Figure 4a): a FIFO-type temporary address storage (S2P) organized as a serial-to-parallel converter, a counter 8 modulo N with a loading interface (CN) and a data bit storage buer (BUFF). he temporary address storage sequentially accepts the address from the input A, one address bit per one \slow" clock IS, and ushes it to the counter part whenever the \load" (L) signal arrives. he storage is designed as a shift register built up of RSFQ analogs of the \call" cell 9 rather than of \conventional" RS ip-ops, so that one \reset" branch of each cell is used for transferring bits along the register, and the other is used for parallel read-out. he ACR module assigns a number A to each input channel (and hence each input packet arriving to the input) according its look-up tables: A = O i? 1; i = 1 : : : N; (2) where O i is the number of the destination channel, starting from 1, from left to right (see Figure 1). he \load" signal causes the corresponding binary code A (the least signicant bit placed to the left-most ip-op) to be written to the counting loop CN as its initial state. hus, we can speak about two modes of the input buer: a programming mode and a counting mode. he signal L switches the input buer from the further mode to the latter mode explicitly, while the opposite transmission is implicit and takes place when the last bit of the routed packet leaves the storage. he \fast" clock permanently circulates in the counting loop causing the generation of the \carry" signal each time the contents of all the upper ip-ops change to zero. he period of this \carry" signal is N=f 0 = f e, i.e., it coincides with the period of the \slow" clock, while its initial oset depends on A and, in turn, determines the number of the M slot assigned to the data bit stored in the BUFF ip-op. Note that when in the counting mode, the S2P part of the input buer is idle, and the \slow" clock rolls the empty shift register. Vice versa, in the programming mode both CN and BUFF parts do useless job, namely, the \fast" clock runs trough the counting loop and sends nothing from the I input to the O output. Yet, this little overhead saves a lot of hardware needed otherwise to monitor the activity within the input buers and switch the corresponding clock streams on and o.

4 IS A L IF S2P C C O1 I1 O2 I2 O3 I3 I O a) I CN BUFF O O4 I4 b) Figure 4. a) Input buer for a 8 8 switch. Pins: A serial address line; L \load" line; IF \fast" clock input; IS \slow" clock input; I serial data input; O serial data output. b) Bit concentrator for a 4 4 switch. Pins: I \fast" clock input; O1 : : : 4 \fast" clock outputs; O serial data output; I1 : : : 4 serial data inputs. Parts for both pictures: RSFQ ip-op with positive only and complementary outputs, RSFQ ip-op with programmable initial state, C RSFQ \call" cell BI CONCENRAOR he task of the bit concentrating tree is to synchronously demultiplex packets arriving from dierent input buers into a single M stream. As shown at the Figure 4b, it is a full binary tree with height log 2 N and width N, built-up of RSFQ ip-ops and RSFQ asynchronous mergers (conuence buers). he tree is controlled by the \fast" clock. Each clock signal advances packet bits one stage towards the root. Because of the non-contenting nature of the incoming packet stream, there is at most one valuable data bit in each column of the tree at a time (under certain conditions there may be a number of completely empty columns due to the fact that there may occur empty packets in one or more input streams). Hence, at most cells in the tree are busy at a time, where = log 2N 2 N? 1 : (3) his factor equals 28% for N = 4 and decreases with the growth of N SERIAL-O-PARALLEL CONVERER he serial-to-parallel FIFO-type converter used as the main shared bus of the switching element is very similar in structure to the temporary address storage in the input buers (see section 3.1). It serially accepts intermixed data bits from the input I, one bit per each \fast" clock period (fed from the input IF) and ushes the overall contents of the shift register to the output channels O1 : : : N by the request of the \slow" clock IS (Figure 5). It is worth mentioning that the \call" cells used in the converter may be considered as an \RRS" ip-ops, because they have one \set" input and two independent fully-functional \reset" inputs with the corresponding outputs FREQUENCY MULIPLIER As it has been shown above, the switching element requires three dierent clock streams that dier in the frequency and in the phase, yet it takes only one clock stream from the outside, while the rest are generated in the internal frequency multiplier.

5 O IF I I C C C C OS OF IS τ a) O1 O2 O3 O4 b) OS_L Figure 5. a) Serial-to-parallel converter for a 4 4 switch. Pins: IF \fast" clock input; IS \slow" clock input; O \fast" clock output; I serial data input; O1 : : : 4 data outputs. b) Frequency multiplier for a 8 8 switch. Pins: I \slow" clock input; OS \slow" clock output; OS L \slow" clock shifted by log 2 N; OF \fast" clock output. Parts for both pictures: C RSFQ \call" cell, RSFQ ip-op, RSFQ ip-op, RSFQ inverter, delay line. he frequency multiplier (which serves as a \fast" clock generator) is organized as a delay-loop with the positive feedback and a counting loop that terminates the generation after N \fast" clock signals are derived. he frequency generated by the multiplier is determined by the delay time in the RSFQ inverter inv, which is pretty stable and depends on the nature and the design of the inverter, and the delay time in the transmission lines t which can be adjusted according to our needs so that: 1 f e N < < f 0 : (4) t + inv he multiplier also produces the third clock signal used in the device, namely, the \slow" clock shifted by log 2 N periods relatively to the external clock. his signal OS L is taken from the (log 2 N? 1)th stage of the multiplier, and then its frequency is divided by HARWARE ESIMAIONS he following equation gives a crude estimation of the overall number of Josephson junctions in the N N switching element: n (26 N log 2 N + 7 log 2 N + 28 N) : (5) Even for N = 16 Eq. ( 5) gives n RSFQ circuits of this size can be easily t to a 5 mm5 mm chip. However, according to Eq. (1), large N may signicantly decrease the bit rate in each channel. Using the hierarchical approach, say, composing an N 2 N 2 switch from N N blocks, can signicantly increase (namely, double) the frequency f e, but this gain results in the increasing number of Josephson junctions per switch. Eq. (5) can be extended to a hierarchical case: n (d) 2 d N (1?1=2d ) N 1=2 d log2 N log 2N + 28 N 1=2 d 2 d 2 d! ; (6) where d is the \depth" of hierarchy (d = 0 for a plain N N switch) and is a factor that takes into account the interconnections' overhead. We expect that it is a function of d and increases with the growth of d. As one can see from the able 1 hierarchical architecture with the depth d = 1 should be considered as the optimal one because in comparison with the at architecture it has double throughput and only slightly more complex circuitry. On the other hand, although depth d = 2 hierarchical switch allows even larger arrival rate, the complexity of its interconnections may be tremendous. One of the greatest advantages of RSFQ devices over \traditional" semiconductors is their low power consumption. he estimations of the power dissipated by a switching element on a single chip give as low as P 300 W at frequencies of 40 GHz. he combination of fast speed and low power may justify the usage of RSFQ circuits in practical systems, despite the necessity of their deep refrigeration (to 4 : : : 5 K).

6 able 1. Comparison of dierent at and hierarchical congurations for a switch. External packet arrival rate derived from the Eq. 1, assuming f 0 = 40 GHz. Number of Hierarchy Number of Number of External packet Number of overall switched depth d switching switched channels arrival rate Josephson channels N elements per element N f e, GHz junctions n , x , x , ACKNOWLEGMENS he author would like to thank P. Bunyk, r. V. Semenov and especially Prof. K. Likharev for numerous helpful hints and fruitful discussions. his research has been inspired by r. E. Wikborg, LM Ericsson, Sweden, and supported by o's University Research Initiative (AFOSR Grant #F49620{92{J{0508). REFERENCES 1. K. Likharev and V. Semenov, \RSFQ logic/memory family: a new Josephson junction technology for sub-teraherz clock frequency digital systems," IEEE rans. on Appl. Supercond., vol. 1, pp. 3{28, Mar B. Lee, M. Kang, and J. Lee, Broadband elecommunications echnology. Norwood, USA: Artech House, M. Hosoya,. Nishino, W. Hioe, S. Kominami, and K. akagi, \Superconducting packet switch," IEEE rans. on Appl. Supercond., vol. 5, pp. 3316{3317, June S. ahara, S. Yorozu, and H. Matsuoka, \A superconductive ring-pipelined network system," IEEE rans. on Appl. Supercond., vol. 5, pp. 3164{3167, June A. H. Worsham, J. X. Przybysz, J. Kang, and. L. Miller, \A single ux quantum cross-bar switch and demultiplexer," IEEE rans. on Appl. Supercond., vol. 5, pp. 2996{2999, June R. Handel, M. Huber, and S. Schroder, AM Networks. Concepts, Protocols, Applications. Addison-Wesley, P. Newman, \AM technology for corporate networks," IEEE Communications Magazine, pp. 90{101, Apr J.-C. Lin and V. Semenov, \iming circuits for RSFQ digital systems," Applied Superconductivity, vol. 5, pp. 3472{ 3477, Sept E. Brunvand and R. Sproull, \ranslating concurrent communicating programs into delay-insensitive circuits," tech. rep., School of Computer Science, Carnegie Mellon University, Pittsburg, PA 15213, Apr

Multi-Channel Time Digitizing Systems

Multi-Channel Time Digitizing Systems 454 IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 13, NO. 2, JUNE 2003 Multi-Channel Time Digitizing Systems Alex Kirichenko, Saad Sarwana, Deep Gupta, Irwin Rochwarger, and Oleg Mukhanov Abstract

More information

SINGLE FLUX QUANTUM ONE-DECIMAL-DIGIT RNS ADDER

SINGLE FLUX QUANTUM ONE-DECIMAL-DIGIT RNS ADDER Applied Superconductivity Vol. 6, Nos 10±12, pp. 609±614, 1998 # 1999 Published by Elsevier Science Ltd. All rights reserved Printed in Great Britain PII: S0964-1807(99)00018-6 0964-1807/99 $ - see front

More information

THE Josephson junction based digital superconducting

THE Josephson junction based digital superconducting IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 26, NO. 3, APRIL 2016 1300205 Investigation of Readout Cell Configuration and Parameters on Functionality and Stability of Bi-Directional RSFQ TFF Tahereh

More information

CONVENTIONAL design of RSFQ integrated circuits

CONVENTIONAL design of RSFQ integrated circuits IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 19, NO. 3, JUNE 2009 1 Serially Biased Components for Digital-RF Receiver Timur V. Filippov, Anubhav Sahu, Saad Sarwana, Deepnarayan Gupta, and Vasili

More information

Digital Encoder for RF Transmit Waveform Synthesizer Amol Inamdar, Deepnarayan Gupta, Saad Sarwana, Anubhav Sahu, and Alan M.

Digital Encoder for RF Transmit Waveform Synthesizer Amol Inamdar, Deepnarayan Gupta, Saad Sarwana, Anubhav Sahu, and Alan M. 556 IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 17, NO. 2, JUNE 2007 Digital Encoder for RF Transmit Waveform Synthesizer Amol Inamdar, Deepnarayan Gupta, Saad Sarwana, Anubhav Sahu, and Alan

More information

FPGA IMPLEMENTATION OF 32-BIT WAVE-PIPELINED SPARSE- TREE ADDER

FPGA IMPLEMENTATION OF 32-BIT WAVE-PIPELINED SPARSE- TREE ADDER FPGA IMPLEMENTATION OF 32-BIT WAVE-PIPELINED SPARSE- TREE ADDER Kasharaboina Thrisandhya *1, LathaSahukar *2 1 Post graduate (M.Tech) in ATRI, JNTUH University, Telangana, India. 2 Associate Professor

More information

Direct measurements of propagation delay of single-flux-quantum circuits by time-to-digital converters

Direct measurements of propagation delay of single-flux-quantum circuits by time-to-digital converters Direct measurements of propagation delay of single-flux-quantum circuits by time-to-digital converters Kazunori Nakamiya 1a), Nobuyuki Yoshikawa 1, Akira Fujimaki 2, Hirotaka Terai 3, and Yoshihito Hashimoto

More information

A Prescaler Circuit for a Superconductive Time-to-Digital Converter

A Prescaler Circuit for a Superconductive Time-to-Digital Converter IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 11, No. 1, MARCH 2001 513 A Prescaler Circuit for a Superconductive Time-to-Digital Converter Steven B. Kaplan, Alex F. Kirichenko, Oleg A. Mukhanov,

More information

IN the past few years, superconductor-based logic families

IN the past few years, superconductor-based logic families 1 Synthesis Flow for Cell-Based Adiabatic Quantum-Flux-Parametron Structural Circuit Generation with HDL Backend Verification Qiuyun Xu, Christopher L. Ayala, Member, IEEE, Naoki Takeuchi, Member, IEEE,

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

High-resolution ADC operation up to 19.6 GHz clock frequency

High-resolution ADC operation up to 19.6 GHz clock frequency INSTITUTE OF PHYSICS PUBLISHING Supercond. Sci. Technol. 14 (2001) 1065 1070 High-resolution ADC operation up to 19.6 GHz clock frequency SUPERCONDUCTOR SCIENCE AND TECHNOLOGY PII: S0953-2048(01)27387-4

More information

Data Acquisition & Computer Control

Data Acquisition & Computer Control Chapter 4 Data Acquisition & Computer Control Now that we have some tools to look at random data we need to understand the fundamental methods employed to acquire data and control experiments. The personal

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Spec. Instructor: Center

Spec. Instructor: Center PDHonline Course E379 (5 PDH) Digital Logic Circuits Volume III Spec ial Logic Circuits Instructor: Lee Layton, P.E 2012 PDH Online PDH Center 5272 Meadow Estatess Drive Fairfax, VA 22030-6658 Phone &

More information

(Refer Slide Time: 2:23)

(Refer Slide Time: 2:23) Data Communications Prof. A. Pal Department of Computer Science & Engineering Indian Institute of Technology, Kharagpur Lecture-11B Multiplexing (Contd.) Hello and welcome to today s lecture on multiplexing

More information

)454 ' ).4%27/2+).' "%47%%..%47/2+3 "!3%$ /. $)&&%2%.4 $)')4!, ()%2!2#()%3!.$ 30%%#( %.#/$).',!73 $)')4!,.%47/2+3. )454 Recommendation '

)454 ' ).4%27/2+).' %47%%..%47/2+3 !3%$ /. $)&&%2%.4 $)')4!, ()%2!2#()%3!.$ 30%%#( %.#/$).',!73 $)')4!,.%47/2+3. )454 Recommendation ' INTERNATIONAL TELECOMMUNICATION UNION )454 ' TELECOMMUNICATION STANDARDIZATION SECTOR OF ITU $)')4!,.%47/2+3 ).4%27/2+).' "%47%%..%47/2+3 "!3%$ /. $)&&%2%.4 $)')4!, ()%2!2#()%3!.$ 30%%#( %.#/$).',!73 )454

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION 2011 (October-November) Q-21 Draw function table of a half adder circuit? (2) Answer: - Page

More information

CHAPTER 4 GALS ARCHITECTURE

CHAPTER 4 GALS ARCHITECTURE 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Module 3: Physical Layer

Module 3: Physical Layer Module 3: Physical Layer Dr. Associate Professor of Computer Science Jackson State University Jackson, MS 39217 Phone: 601-979-3661 E-mail: natarajan.meghanathan@jsums.edu 1 Topics 3.1 Signal Levels: Baud

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

P. 241 Figure 8.1 Multiplexing

P. 241 Figure 8.1 Multiplexing CH 08 : MULTIPLEXING Multiplexing Multiplexing is multiple links on 1 physical line To make efficient use of high-speed telecommunications lines, some form of multiplexing is used It allows several transmission

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Circuit Description and Design Flow of Superconducting SFQ Logic Circuits

Circuit Description and Design Flow of Superconducting SFQ Logic Circuits IEICE TRANS. ELECTRON., VOL.E97 C, NO.3 MARCH 2014 149 INVITED PAPER Special Section on Leading-Edge Technology of Superconductor Large-Scale Integrated Circuits Circuit Description and Design Flow of

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

CONTENTS Sl. No. Experiment Page No

CONTENTS Sl. No. Experiment Page No CONTENTS Sl. No. Experiment Page No 1a Given a 4-variable logic expression, simplify it using Entered Variable Map and realize the simplified logic expression using 8:1 multiplexer IC. 2a 3a 4a 5a 6a 1b

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Imaging serial interface ROM

Imaging serial interface ROM Page 1 of 6 ( 3 of 32 ) United States Patent Application 20070024904 Kind Code A1 Baer; Richard L. ; et al. February 1, 2007 Imaging serial interface ROM Abstract Imaging serial interface ROM (ISIROM).

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

Module-20 Shift Registers

Module-20 Shift Registers 1 Module-20 Shift Registers 1. Introduction 2. Types of shift registers 2.1 Serial In Serial Out (SISO) register 2.2 Serial In Parallel Out (SIPO) register 2.3 Parallel In Parallel Out (PIPO) register

More information

Design of Parallel Algorithms. Communication Algorithms

Design of Parallel Algorithms. Communication Algorithms + Design of Parallel Algorithms Communication Algorithms + Topic Overview n One-to-All Broadcast and All-to-One Reduction n All-to-All Broadcast and Reduction n All-Reduce and Prefix-Sum Operations n Scatter

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

54. IWK Internationales Wissenschaftliches Kolloquium International Scientific Colloquium

54. IWK Internationales Wissenschaftliches Kolloquium International Scientific Colloquium 07-10 September 2009 PROCEEDINGS 54. IWK Internationales Wissenschaftliches Kolloquium International Scientific Colloquium Information Technology and Electrical Engineering - Devices and Systems, Materials

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Design and operation of a rapid single flux quantum demultiplexer

Design and operation of a rapid single flux quantum demultiplexer INIUE OF PHYIC PUBLIHING upercond. ci. echnol. 15 (2002) 1744 1748 UPECONDUCO CIENCE AND ECHNOLOGY PII: 0953-2048(02)38552-X Design and operation of a rapid single flux quantum demultiplexer Masaaki Maezawa,

More information

Multiplexing. Dr. Manas Khatua Assistant Professor Dept. of CSE IIT Jodhpur

Multiplexing. Dr. Manas Khatua Assistant Professor Dept. of CSE IIT Jodhpur CS311: DATA COMMUNICATION Multiplexing Dr. Manas Khatua Assistant Professor Dept. of CSE IIT Jodhpur e-mail: manaskhatua@iitj.ac.in Outline of the Lecture What is Multiplexing and why is it used? Basic

More information

FIBER OPTICS. Prof. R.K. Shevgaonkar. Department of Electrical Engineering. Indian Institute of Technology, Bombay. Lecture: 26

FIBER OPTICS. Prof. R.K. Shevgaonkar. Department of Electrical Engineering. Indian Institute of Technology, Bombay. Lecture: 26 FIBER OPTICS Prof. R.K. Shevgaonkar Department of Electrical Engineering Indian Institute of Technology, Bombay Lecture: 26 Wavelength Division Multiplexed (WDM) Systems Fiber Optics, Prof. R.K. Shevgaonkar,

More information

(

( AN INTRODUCTION TO CAMAC (http://www-esd.fnal.gov/esd/catalog/intro/introcam.htm) Computer Automated Measurement And Control, (CAMAC), is a modular data handling system used at almost every nuclear physics

More information

2 SQUID. (Superconductive QUantum Interference Device) SQUID 2. ( 0 = Wb) SQUID SQUID SQUID SQUID Wb ( ) SQUID SQUID SQUID

2 SQUID. (Superconductive QUantum Interference Device) SQUID 2. ( 0 = Wb) SQUID SQUID SQUID SQUID Wb ( ) SQUID SQUID SQUID SQUID (Superconductive QUantum Interference Device) SQUID ( 0 = 2.07 10-15 Wb) SQUID SQUID SQUID SQUID 10-20 Wb (10-5 0 ) SQUID SQUID ( 0 ) SQUID 0 [1, 2] SQUID 0.1 0 SQUID SQUID 10-4 0 1 1 1 SQUID 2 SQUID

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE A Novel Approach of -Insensitive Null Convention Logic Microprocessor Design J. Asha Jenova Student, ECE Department, Arasu Engineering College, Tamilndu,

More information

Outline of the Lecture

Outline of the Lecture CS311: DATA COMMUNICATION Multiplexing by Dr. Manas Khatua Assistant Professor Dept. of CSE IIT Jodhpur E-mail: manaskhatua@iitj.ac.in Web: http://home.iitj.ac.in/~manaskhatua http://manaskhatua.github.io/

More information

Rep. ITU-R BO REPORT ITU-R BO SATELLITE-BROADCASTING SYSTEMS OF INTEGRATED SERVICES DIGITAL BROADCASTING

Rep. ITU-R BO REPORT ITU-R BO SATELLITE-BROADCASTING SYSTEMS OF INTEGRATED SERVICES DIGITAL BROADCASTING Rep. ITU-R BO.7- REPORT ITU-R BO.7- SATELLITE-BROADCASTING SYSTEMS OF INTEGRATED SERVICES DIGITAL BROADCASTING (Questions ITU-R 0/0 and ITU-R 0/) (990-994-998) Rep. ITU-R BO.7- Introduction The progress

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

A Multiplexer-Based Digital Passive Linear Counter (PLINCO)

A Multiplexer-Based Digital Passive Linear Counter (PLINCO) A Multiplexer-Based Digital Passive Linear Counter (PLINCO) Skyler Weaver, Benjamin Hershberg, Pavan Kumar Hanumolu, and Un-Ku Moon School of EECS, Oregon State University, 48 Kelley Engineering Center,

More information

DI-1100 USB Data Acquisition (DAQ) System Communication Protocol

DI-1100 USB Data Acquisition (DAQ) System Communication Protocol DI-1100 USB Data Acquisition (DAQ) System Communication Protocol DATAQ Instruments Although DATAQ Instruments provides ready-to-run WinDaq software with its DI-1100 Data Acquisition Starter Kits, programmers

More information

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016 Analog I/O ECE 153B Sensor & Peripheral Interface Design Introduction Anytime we need to monitor or control analog signals with a digital system, we require analogto-digital (ADC) and digital-to-analog

More information

Quarter-rate Superconducting Modulator for Improved High Resolution Analog-to-Digital Converter

Quarter-rate Superconducting Modulator for Improved High Resolution Analog-to-Digital Converter 1 Quarter-rate Superconducting Modulator for Improved High Resolution Analog-to-Digital Converter Amol Inamdar, Sergey Rylov, Anubhav Sahu, Saad Sarwana, and Deepnarayan Gupta Abstract We describe the

More information

Datenkommunikation SS L03 - TDM Techniques. Time Division Multiplexing (synchronous, statistical) Digital Voice Transmission, PDH, SDH

Datenkommunikation SS L03 - TDM Techniques. Time Division Multiplexing (synchronous, statistical) Digital Voice Transmission, PDH, SDH TM Techniques Time ivision Multiplexing (synchronous, statistical) igital Voice Transmission, PH, SH Agenda Introduction Synchronous (eterministic) TM Asynchronous (Statistical) TM igital Voice Transmission

More information

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION M i c r o p r o c e s s o r s a n d M i c r o c o n t r o l l e r s P a g e 1 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION Microcomputer system design requires

More information

Systems. Roland Kammerer. 29. October Institute of Computer Engineering Vienna University of Technology. Communication in Distributed Embedded

Systems. Roland Kammerer. 29. October Institute of Computer Engineering Vienna University of Technology. Communication in Distributed Embedded Communication Roland Institute of Computer Engineering Vienna University of Technology 29. October 2010 Overview 1. Distributed Motivation 2. OSI Communication Model 3. Topologies 4. Physical Layer 5.

More information

UNIT-III ASYNCHRONOUS SEQUENTIAL CIRCUITS TWO MARKS 1. What are secondary variables? -present state variables in asynchronous sequential circuits 2. What are excitation variables? -next state variables

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

ROM/UDF CPU I/O I/O I/O RAM

ROM/UDF CPU I/O I/O I/O RAM DATA BUSSES INTRODUCTION The avionics systems on aircraft frequently contain general purpose computer components which perform certain processing functions, then relay this information to other systems.

More information

DIGITAL DESIGN WITH SM CHARTS

DIGITAL DESIGN WITH SM CHARTS DIGITAL DESIGN WITH SM CHARTS By: Dr K S Gurumurthy, UVCE, Bangalore e-notes for the lectures VTU EDUSAT Programme Dr. K S Gurumurthy, UVCE, Blore Page 1 19/04/2005 DIGITAL DESIGN WITH SM CHARTS The utility

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

EC O4 403 DIGITAL ELECTRONICS

EC O4 403 DIGITAL ELECTRONICS EC O4 403 DIGITAL ELECTRONICS Asynchronous Sequential Circuits - II 6/3/2010 P. Suresh Nair AMIE, ME(AE), (PhD) AP & Head, ECE Department DEPT. OF ELECTONICS AND COMMUNICATION MEA ENGINEERING COLLEGE Page2

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

LOW-COST TELEMETRY USING FREQUENCY HOPPING AND THE TRF6900 TRANSCEIVER1

LOW-COST TELEMETRY USING FREQUENCY HOPPING AND THE TRF6900 TRANSCEIVER1 LOW-COST TELEMETRY USING FREQUENCY HOPPING AND THE TRF6900 TRANSCEIVER1 Item Type text; Proceedings Authors Thornér, Carl-Einar I.; Iltis, Ronald A. Publisher International Foundation for Telemetering

More information

A Low Power and High Speed Viterbi Decoder Based on Deep Pipelined, Clock Blocking and Hazards Filtering

A Low Power and High Speed Viterbi Decoder Based on Deep Pipelined, Clock Blocking and Hazards Filtering Int. J. Communications, Network and System Sciences, 2009, 6, 575-582 doi:10.4236/ijcns.2009.26064 Published Online September 2009 (http://www.scirp.org/journal/ijcns/). 575 A Low Power and High Speed

More information

RSFQ Logic/Memory Family: A New Josephson-Junction Technology for Sub-Terahertz-Clock-Frequency Digital Systems

RSFQ Logic/Memory Family: A New Josephson-Junction Technology for Sub-Terahertz-Clock-Frequency Digital Systems IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. I, NO. I, MARCH 1991 RSFQ Logic/Memory Family: A New Josephson-Junction Technology for Sub-Terahertz-Clock-Frequency Digital Systems K. K. Likharev

More information

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Marco Storto and Roberto Saletti Dipartimento di Ingegneria della Informazione: Elettronica, Informatica,

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter Quentin Diduck, Martin Margala * Electrical and Computer Engineering Department 526 Computer Studies Bldg., PO Box 270231 University

More information

EECE494: Computer Bus and SoC Interfacing. Serial Communication: RS-232. Dr. Charles Kim Electrical and Computer Engineering Howard University

EECE494: Computer Bus and SoC Interfacing. Serial Communication: RS-232. Dr. Charles Kim Electrical and Computer Engineering Howard University EECE494: Computer Bus and SoC Interfacing Serial Communication: RS-232 Dr. Charles Kim Electrical and Computer Engineering Howard University Spring 2014 1 Many types of wires/pins in the communication

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002.

To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002. To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002. 3.5. A 1.3 GSample/s 10-tap Full-rate Variable-latency Self-timed FIR filter

More information

Design and Operation Of Parallel Carry-Save Pipelined Rsfq Multiplier For Digital Signal Processing

Design and Operation Of Parallel Carry-Save Pipelined Rsfq Multiplier For Digital Signal Processing International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 PP.35-40 Design and Operation Of Parallel Carry-Save Pipelined Rsfq Multiplier For Digital Signal

More information

IJMIE Volume 2, Issue 5 ISSN:

IJMIE Volume 2, Issue 5 ISSN: Systematic Design of High-Speed and Low- Power Digit-Serial Multipliers VLSI Based Ms.P.J.Tayade* Dr. Prof. A.A.Gurjar** Abstract: Terms of both latency and power Digit-serial implementation styles are

More information

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL International Journal Of Scientific Research And Education Volume 2 Issue 7 Pages 1091-1097 July-2014 ISSN (e): 2321-7545 Website:: http://ijsae.in Design and Simulation of Universal Asynchronous Receiver

More information

In this lecture, we will look at how different electronic modules communicate with each other. We will consider the following topics:

In this lecture, we will look at how different electronic modules communicate with each other. We will consider the following topics: In this lecture, we will look at how different electronic modules communicate with each other. We will consider the following topics: Links between Digital and Analogue Serial vs Parallel links Flow control

More information

RSFQ DC to SFQ Converter with Reduced Josephson Current Density

RSFQ DC to SFQ Converter with Reduced Josephson Current Density Proceedings of the th WSEAS International Conference on CIRCUITS, Agios Nikolaos, Crete Island, Greece, July 3-5, 7 8 RSFQ DC to SFQ Converter with Reduced Josephson Current Density VALERI MLADENOV Department

More information

Flip-Flopping Fractional Flux Quanta

Flip-Flopping Fractional Flux Quanta Flip-Flopping Fractional Flux Quanta Th. Ortlepp 1, Ariando 2, O. Mielke, 1 C. J. M. Verwijs 2, K. Foo 2, H. Rogalla 2, F. H. Uhlmann 1, H. Hilgenkamp 2 1 Institute of Information Technology, RSFQ design

More information

Advances in Antenna Measurement Instrumentation and Systems

Advances in Antenna Measurement Instrumentation and Systems Advances in Antenna Measurement Instrumentation and Systems Steven R. Nichols, Roger Dygert, David Wayne MI Technologies Suwanee, Georgia, USA Abstract Since the early days of antenna pattern recorders,

More information

Superconducting Digital Signal Processor for Telecommunication

Superconducting Digital Signal Processor for Telecommunication Superconducting Digital Signal Processor for Telecommunication Anna Herr Microtechnology and Nanoscience, Chalmers University of Technology 41296 Gothenburg, Sweden e-mail: anna.herr@chalmers.se Abstract-

More information

ANALOG TO DIGITAL CONVERTER ANALOG INPUT

ANALOG TO DIGITAL CONVERTER ANALOG INPUT ANALOG INPUT Analog input involves sensing an electrical signal from some source external to the computer. This signal is generated as a result of some changing physical phenomenon such as air pressure,

More information

Study on Digital Multiplier Architecture Using Square Law and Divide-Conquer Method

Study on Digital Multiplier Architecture Using Square Law and Divide-Conquer Method Study on Digital Multiplier Architecture Using Square Law and Divide-Conquer Method Yifei Sun 1,a, Shu Sasaki 1,b, Dan Yao 1,c, Nobukazu Tsukiji 1,d, Haruo Kobayashi 1,e 1 Division of Electronics and Informatics,

More information

CDR in Mercury Devices

CDR in Mercury Devices CDR in Mercury Devices February 2001, ver. 1.0 Application Note 130 Introduction Preliminary Information High-speed serial data transmission allows designers to transmit highbandwidth data using differential,

More information

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability?

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability? VLS esign esign for Test esign For Test What can we do to increase ability? He s dead Jim... Overview design for architectures ad-hoc, scan based, built-in in Goal: You are familiar with ability metrics

More information

Class Project: Low power Design of Electronic Circuits (ELEC 6970) 1

Class Project: Low power Design of Electronic Circuits (ELEC 6970) 1 Power Minimization using Voltage reduction and Parallel Processing Sudheer Vemula Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL. Goal of the project:- To reduce the power consumed

More information

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP S. Narendra, G. Munirathnam Abstract In this project, a low-power data encoding scheme is proposed. In general, system-on-chip (soc)

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the International Conference on Emerging Trends in Engineering and Management (ICETEM14) ISSN 0976

More information

Feasibility of a multifunctional morphological system for use on field programmable gate arrays

Feasibility of a multifunctional morphological system for use on field programmable gate arrays Journal of Physics: Conference Series Feasibility of a multifunctional morphological system for use on field programmable gate arrays To cite this article: A J Tickle et al 2007 J. Phys.: Conf. Ser. 76

More information

ISSN Vol.03,Issue.02, February-2014, Pages:

ISSN Vol.03,Issue.02, February-2014, Pages: www.semargroup.org, www.ijsetr.com ISSN 2319-8885 Vol.03,Issue.02, February-2014, Pages:0239-0244 Design and Implementation of High Speed Radix 8 Multiplier using 8:2 Compressors A.M.SRINIVASA CHARYULU

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

EE 434 Final Projects Fall 2006

EE 434 Final Projects Fall 2006 EE 434 Final Projects Fall 2006 Six projects have been identified. It will be our goal to have approximately an equal number of teams working on each project. You may work individually or in groups of

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

Asynchronous Pipeline Controller Based on Early Acknowledgement Protocol

Asynchronous Pipeline Controller Based on Early Acknowledgement Protocol ISSN 1346-5597 NII Technical Report Asynchronous Pipeline Controller Based on Early Acknowledgement Protocol Chammika Mannakkara and Tomohiro Yoneda NII-2008-009E Sept. 2008 1 PAPER Asynchronous Pipeline

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

DEC-TR-553. Error Characteristics of FDDI. Raj Jain. Digital Equipment Corporation. 550 King St. (LKG1-2/A19) Littleton, MA 01460

DEC-TR-553. Error Characteristics of FDDI. Raj Jain. Digital Equipment Corporation. 550 King St. (LKG1-2/A19) Littleton, MA 01460 DEC-TR-553 Error Characteristics of FDDI Raj Jain Digital Equipment Corporation 550 King St. (LKG1-2/A19) Littleton, MA 01460 Network Address: Jain@Erlang.DEC.Com June 1988 This report has been released

More information

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state:

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state: UNIVERSITY OF CALIFORNIA Department of Electrical Engineering and Computer Sciences C50 Fall 2001 Prof. Subramanian Homework #3 Due: Friday, September 28, 2001 1. Show how to implement a T flip-flop starting

More information

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 98 Chapter-5 ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 99 CHAPTER-5 Chapter 5: ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION S.No Name of the Sub-Title Page

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information