SINGLE FLUX QUANTUM ONE-DECIMAL-DIGIT RNS ADDER

Size: px
Start display at page:

Download "SINGLE FLUX QUANTUM ONE-DECIMAL-DIGIT RNS ADDER"

Transcription

1 Applied Superconductivity Vol. 6, Nos 10±12, pp. 609±614, 1998 # 1999 Published by Elsevier Science Ltd. All rights reserved Printed in Great Britain PII: S (99) /99 $ - see front matter SINGLE FLUX QUANTUM ONE-DECIMAL-DIGIT RNS ADDER NADA VUKOVIC and MARC J. FELDMAN University of Rochester, Rochester, NY 14627, USA AbstractÐResidue number system (RNS) arithmetic has a promising role for fault-tolerant high throughput superconducting single ux quantum (SFQ) circuits for digital signal processing (DSP) applications. We have designed one of the basic computational blocks used in DSP circuits, one-decimaldigit RNS adder. A new design for its main component, the single-modulus adder, has been developed. It combines simple and robust RSFQ elementary cells, both combinational and sequential. The central units are a circular shift register, a code converter, and the clock control circuitry. Our mod5 adder employs 195 Josephson junctions, consumes 50 mw of power, and occupies an area of less than 2 mm 2. Chips were fabricated at HYPRES, Inc. using 1 ka/cm 2 low-t c Niobium technology. The mod5 adder was successfully tested at low speed, and gave experimental bias margins of 226%. # 1999 Published by Elsevier Science Ltd. All rights reserved INTRODUCTION The use of the residue number system (RNS) o ers the possibility of high-speed processing because of the separability of operation on each of the residue digits [1]. In addition, RNS arithmetic is intrinsically fault tolerant [2]. Common signal processing tasks such as digital ltering, correlation, interpolation, prediction, and spectral analysis characteristically require large numbers of addition, subtraction, multiplication, negation, etc., operations that are very suitable for RNS arithmetic [3]. RNS design techniques have been most successful to date for nite impulse response (FIR) lters, which can take full advantage of fast RNS operations while avoiding the problems associated with scaling [4]. There is continually increasing interest in the realization of ultra-high speed, very low power superconducting LSI using single ux quantum (SFQ) logic. The most prevalent is rapid single ux quantum (RSFQ) logic [5]. This and most other superconducting logic schemes implement a binary approach to perform basic arithmetic operations, thus inheriting some of the weaknesses of the semiconductor binary logic, such as the carry propagation problem in addition and multiplication that may ultimately limit the performance of the system. In this paper we present results on SFQ circuits which perform RNS arithmetic. Both RNS arithmetic and superconducting digital logic have been recognized as especially well suited for high performance digital signal processing (DSP) circuits, where the computation is dominated by a repetitive sequence of multiply and add operations with infrequent calls to memory, and high speed is the primary criterion. The rst RNS implementation in superconducting electronics based on processing SFQ pulses was proposed in [6]. The basic architecture of an SFQ one-decimal-digit adder is presented in Fig. 1. Inputs X and Y are two one-decimal-digit integers, i.e. X = [0, 9] and Y = [0, 9]. The output, which is the sum of X and Y, can be any integer between 0 and 18. Two single-modulus adders, mod5 and mod4, are su cient to cover this dynamic range. The cyclic shift register (SR) is the primary circuit element used to code RNS numbers and perform arithmetic. The particular design for the single-modulus adder detailed in [6] lacks a robust RSFQ circuit implementation, for two reasons. The rst problem is that counter ow and concurrent clocking are mixed together, using the same clock line. In counter ow clocking the data ows faster then the clock, and in concurrent clocking the opposite is true, the clock propagates faster then the data [7]. This results in narrower margins to process variations in fabrication, because the only adjustable parameter, the bias current, has a tendency to speed up one part of the SR and slow down the other, or vice versa. Second, the choice of non destructive readout (NDRO) cell and DMUX in the feedback part of SR results in an overall lower maximum operating frequency as 609

2 610 N. VUKOVIC and M. J. FELDMAN Fig. 1. Block diagram of an SFQ one-decimal-digit RNS adder. well as layout constraints. The NDRO cell has never been established as one of the more robust RSFQ cells. This paper presents a new design for the single-modulus adder used in the one-decimal-digit adder. It combines simple and robust RSFQ elementary cells, both combinational and sequential. The design and successful functionality test of the mod5 adder will be discussed. DESIGN Figure 2 shows a block diagram of our mod5 adder. It performs mod5 addition for two residues, vxv 5 and vyv 5. The circuit consists of a ve stage shift register (SR5), a feedback path with an AND gate and con uence bu er (CB), a code converter (CC) composed of destructive readout (DRO) cells, splitters (S) and CBs, and an output AND gate. The overall clocking scheme is counter ow, i.e. clock and data ow in opposite directions. The numbers are coded in ``1-outof-n'' code, where n is a given modulus; in this case n is 5. Because of the chosen coding scheme, the design of the SR with the feedback loop is better suited to satisfy the timing requirements that exist in any synchronous clocking scheme when a stream of successive one's is applied [8]. Fig. 2. Block diagram of an SFQ mod5 adder. Notation: SR: shift register; DRO: destructive read-out cell; CB: con uence bu er; S: splitter, OR: or gate; AND: and gate; JTL2: two junction Josephson transmission line.

3 Single ux quantum one-decimal-digit RNS adder 611 Fig. 3. Circuit diagram and parameter values of the single stage of SR. The code converter converts the ``1-out-of-n'' code into ``number-of-pulses'' code. Two signals clk5 and clk5' are 1808 out of phase and represent sequence of ve ones (SFQ pulses) and ve zeros. These signals could easily be generated using a simple ten stage circular SR as part of the control circuitry for entire mod5 portion of an LSI RNS circuit. Input vyv 5, which is delayed by four clock cycles, is applied to the serial input of the code converter. The output of the code converter is applied to the clock input of the SR. The second number, vxv 5, is applied to the input of the SR. In the rst ve clock cycles the number vxv 5 is loaded into the SR. In the second ve clock cycles it will be advanced by vyv 5, stages around the SR, and so the number in the SR now represents vxv 5 + vyv 5. This sum is then readout through the second AND gate at the same time as the subsequent vxv 5 number is loaded. Note that each AND gate is used to perform the function of a switch in this design. These switches replace the DMUX and NDRO which were used in [6]. A schematic of the SR cell and its optimized parameters are shown in Fig. 3. The same cell has been implemented in a 4-bit data acquisition shift register and tested with experimental bias margins of 240%, in [9]. The mod5 adder and its subcells were fully optimized at 10 GHz using MALT [10] and JSPICE [11]. Table 1 shows the resulting parameter margins from optimization and bias current margins from experiment. Table 1. Margins of mod5 adder and its subcells (%) Cell name GL$ (%) GIcb$ (%) Simulated bias (%) Experimental bias (%) AND , OR , Code Conv , stage SR , mod5 adder , $Percentages are lower bounds on the margins corresponding to the ``axis lengths'' gure of merit returned by MALT. GL and GIcb denote the global inductance and global critical current with the bias current adjusted proportionally, respectively.

4 612 N. VUKOVIC and M. J. FELDMAN LAYOUT AND TESTING The mod5 adder was laid out using the Cadence Design Framework II graphical environment [12] calibrated for the HYPRES, Inc. standard Nb process [13]. The micrograph of the circuits is shown in Fig. 5. It was fabricated at HYPRES, Inc. with target junction critical current density of 1 ka/cm 2. The mod5 adder employs 195 Josephson junctions, consumes 50 mw of power, and occupies an area of less than 2 mm 2. Low speed testing was performed using our automated thirty-nine channel data acquisition setup, controlled by a PC running Labview. Figure 4 shows the low speed test results on the mod5 adder. All critical combinations of the inputs are successfully tested. Figure 4 shows only three combinations: vxv 5 = 4 (00001), and vyv 5 = 2 (00100), 3 (00010) and 4 (00001). The resulting sums are vxv 5 + vyv 5 = 1 (01000), 2 (00100) and 3 (00010), respectively. The upper traces represent external inputs and clock signals which are coded using the return-to-zero (RZ) convention. Each edge triggers an SFQ pulse from a DC/SFQ converter. Outputs are captured by an SFQ/DC converter, where each transition corresponds to one SFQ pulse. The code converter output (Code Conv_Out) shows the correct sequence of pulses when data vxv 5 is applied, i.e. seven (5 + 2), eight (5 + 8) and nine (5 + 4) transitions. The experimental bias margins of the circuit and its subcells are shown in Table 1. CONCLUSIONS A new single module adder, mod5 adder, the main component of a one-decimal-digit RNS adder, was designed, laid out and evaluated at low speed. The mod5 adder consists of simple, elementary RSFQ cells and has a very good parameter margins. The circuit presents rst successful implementation of residue number system arithmetic in single ux quantum superconducting technology. Fig. 4. The experimental results of the mod5 adder.

5 Single ux quantum one-decimal-digit RNS adder 613 Fig. 5. Micrograph of the mod5 adder. AcknowledgementsÐThe authors would like to thank Qing Ke for bringing the RNS concept to their attention. This work was supported in part by the University Research Initiative at the University of Rochester, sponsored by the Army Research O ce under Grant No. DAAL03-92-G REFERENCES 1. N. S. Szabo and R. I. Tanaka, Residue Arithmetic and Its Applications in Computer Technology. McGraw-Hill, New York (1967). 2. P.E. Beckmann and B.R. Musicus, IEEE Trans. Signal Proc. 41, 2300 (1993). 3. M. A. Soderstrand, W. K. Jenkins, G. A. Jullien, and F. J. Taylor (eds.), Residue Number System Arithmetic: Modem Applications in Digital Signal Processing. IEEE Press, New York (1986).

6 614 N. VUKOVIC and M. J. FELDMAN 4. M.A. Soderstrand and R.A. Escott, IEEE Trans. Circuits Syst. CAS-33, 5 (1986). 5. K.K. Likharev and V.K. Semenov, IEEE Trans. Appl. Superconduct. 1, 3 (1991). 6. Q. Ke and M.J. Feldman, IEEE Trans. Appl. Superconduct. 5, 2988 (1995). 7. K. Gaj, E.G. Friedman and M.J. Feldman, IEEE Trans. Appl. Superconduct. 5, 3320 (1995). 8. C.A. Mancini, N. Vukovic, A.M. Herr, K. Gaj, M.F. Bocko and M.J. Feldman, IEEE Trans. Appl. Superconduct. 7, 2832 (1997). 9. Q.P. Herr, K. Gaj, A.M. Herr, N. Vukovic, C.A. Mancini, M.F. Bocko and M.J. Feldman, IEEE Trans. Appl. Superconduct. 7, 2975 (1997). 10. Q.P. Herr and M.J. Feldman, IEEE Trans. Appl. Superconduct. 5, 3327 (1995). 11. S.R. Whiteley, IEEE Trans. Magn. 27, 2902 (1991). 12. Cadence Corporation, Cadence Openbook, San Jose, CA (1993). 13. HYPRES Niobium process ow and design rules are available from HYPRES, Inc., 175 Clearbrook Road, Elmsford, NY 10523,

Multi-Channel Time Digitizing Systems

Multi-Channel Time Digitizing Systems 454 IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 13, NO. 2, JUNE 2003 Multi-Channel Time Digitizing Systems Alex Kirichenko, Saad Sarwana, Deep Gupta, Irwin Rochwarger, and Oleg Mukhanov Abstract

More information

A Prescaler Circuit for a Superconductive Time-to-Digital Converter

A Prescaler Circuit for a Superconductive Time-to-Digital Converter IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 11, No. 1, MARCH 2001 513 A Prescaler Circuit for a Superconductive Time-to-Digital Converter Steven B. Kaplan, Alex F. Kirichenko, Oleg A. Mukhanov,

More information

THE Josephson junction based digital superconducting

THE Josephson junction based digital superconducting IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 26, NO. 3, APRIL 2016 1300205 Investigation of Readout Cell Configuration and Parameters on Functionality and Stability of Bi-Directional RSFQ TFF Tahereh

More information

CONVENTIONAL design of RSFQ integrated circuits

CONVENTIONAL design of RSFQ integrated circuits IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 19, NO. 3, JUNE 2009 1 Serially Biased Components for Digital-RF Receiver Timur V. Filippov, Anubhav Sahu, Saad Sarwana, Deepnarayan Gupta, and Vasili

More information

ONE of the primary problems in the development of large

ONE of the primary problems in the development of large IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 9, NO. 3, SEPTEMBER 1999 4591 Toward a Systematic Design Methodology for Large Multigigahertz Rapid Single Flux Quantum Circuits Kris Gaj, Quentin P.

More information

Digital Encoder for RF Transmit Waveform Synthesizer Amol Inamdar, Deepnarayan Gupta, Saad Sarwana, Anubhav Sahu, and Alan M.

Digital Encoder for RF Transmit Waveform Synthesizer Amol Inamdar, Deepnarayan Gupta, Saad Sarwana, Anubhav Sahu, and Alan M. 556 IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 17, NO. 2, JUNE 2007 Digital Encoder for RF Transmit Waveform Synthesizer Amol Inamdar, Deepnarayan Gupta, Saad Sarwana, Anubhav Sahu, and Alan

More information

Direct measurements of propagation delay of single-flux-quantum circuits by time-to-digital converters

Direct measurements of propagation delay of single-flux-quantum circuits by time-to-digital converters Direct measurements of propagation delay of single-flux-quantum circuits by time-to-digital converters Kazunori Nakamiya 1a), Nobuyuki Yoshikawa 1, Akira Fujimaki 2, Hirotaka Terai 3, and Yoshihito Hashimoto

More information

A Superconductive Flash Digitizer with On-Chip Memory

A Superconductive Flash Digitizer with On-Chip Memory 32 IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 9, No. 2, JUNE 1999 A Superconductive Flash Digitizer with On-Chip Memory Steven B. Kaplan, Paul D. Bradley*, Darren K. Brock, Dmitri Gaidarenko,

More information

High-resolution ADC operation up to 19.6 GHz clock frequency

High-resolution ADC operation up to 19.6 GHz clock frequency INSTITUTE OF PHYSICS PUBLISHING Supercond. Sci. Technol. 14 (2001) 1065 1070 High-resolution ADC operation up to 19.6 GHz clock frequency SUPERCONDUCTOR SCIENCE AND TECHNOLOGY PII: S0953-2048(01)27387-4

More information

IN the past few years, superconductor-based logic families

IN the past few years, superconductor-based logic families 1 Synthesis Flow for Cell-Based Adiabatic Quantum-Flux-Parametron Structural Circuit Generation with HDL Backend Verification Qiuyun Xu, Christopher L. Ayala, Member, IEEE, Naoki Takeuchi, Member, IEEE,

More information

Circuit Description and Design Flow of Superconducting SFQ Logic Circuits

Circuit Description and Design Flow of Superconducting SFQ Logic Circuits IEICE TRANS. ELECTRON., VOL.E97 C, NO.3 MARCH 2014 149 INVITED PAPER Special Section on Leading-Edge Technology of Superconductor Large-Scale Integrated Circuits Circuit Description and Design Flow of

More information

Quarter-rate Superconducting Modulator for Improved High Resolution Analog-to-Digital Converter

Quarter-rate Superconducting Modulator for Improved High Resolution Analog-to-Digital Converter 1 Quarter-rate Superconducting Modulator for Improved High Resolution Analog-to-Digital Converter Amol Inamdar, Sergey Rylov, Anubhav Sahu, Saad Sarwana, and Deepnarayan Gupta Abstract We describe the

More information

SUPERCONDUCTOR DIGITAL-RF TRANSCEIVER COMPONENTS

SUPERCONDUCTOR DIGITAL-RF TRANSCEIVER COMPONENTS SUPERCONDUCTOR DIGITAL-RF TRANSCEIVER COMPONENTS O. Mukhanov (mukhanov@hypres.com), D. Gupta, A. Kadin, J. Rosa (HYPRES, Inc., Elmsford, 175 Clearbrook Rd., NY 10523), V. Semenov, T. Filippov (SUNY at

More information

Design and Operation Of Parallel Carry-Save Pipelined Rsfq Multiplier For Digital Signal Processing

Design and Operation Of Parallel Carry-Save Pipelined Rsfq Multiplier For Digital Signal Processing International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 PP.35-40 Design and Operation Of Parallel Carry-Save Pipelined Rsfq Multiplier For Digital Signal

More information

Design of 8-Bit RSFQ Based Multiplier for DSP Application

Design of 8-Bit RSFQ Based Multiplier for DSP Application International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 2, Issue 1, January 2015, PP 8-14 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org Design

More information

HIGH-EFFICIENCY generation of spectrally pure,

HIGH-EFFICIENCY generation of spectrally pure, 416 IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 17, NO. 2, JUNE 2007 Superconductor Components for Direct Digital Synthesizer Oleg Mukhanov, Amol Inamdar, Timur Filippov, Anubhav Sahu, Saad Sarwana,

More information

FPGA IMPLEMENTATION OF 32-BIT WAVE-PIPELINED SPARSE- TREE ADDER

FPGA IMPLEMENTATION OF 32-BIT WAVE-PIPELINED SPARSE- TREE ADDER FPGA IMPLEMENTATION OF 32-BIT WAVE-PIPELINED SPARSE- TREE ADDER Kasharaboina Thrisandhya *1, LathaSahukar *2 1 Post graduate (M.Tech) in ATRI, JNTUH University, Telangana, India. 2 Associate Professor

More information

Flip-Flopping Fractional Flux Quanta

Flip-Flopping Fractional Flux Quanta Flip-Flopping Fractional Flux Quanta Th. Ortlepp 1, Ariando 2, O. Mielke, 1 C. J. M. Verwijs 2, K. Foo 2, H. Rogalla 2, F. H. Uhlmann 1, H. Hilgenkamp 2 1 Institute of Information Technology, RSFQ design

More information

670 IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 19, NO. 3, JUNE /$ IEEE

670 IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 19, NO. 3, JUNE /$ IEEE 670 IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 19, NO. 3, JUNE 2009 Progress in Design of Improved High Dynamic Range Analog-to-Digital Converters Amol Inamdar, Sergey Rylov, Andrei Talalaevskii,

More information

Low Temperature Superconductor Electronics. H.-G. Meyer, Institute of Photonic Technology Albert Einstein Strasse Jena, Germany

Low Temperature Superconductor Electronics. H.-G. Meyer, Institute of Photonic Technology Albert Einstein Strasse Jena, Germany 1 Low Temperature Superconductor Electronics H.-G. Meyer, Institute of Photonic Technology Albert Einstein Strasse 9 07745 Jena, Germany 2 Outline Status of Semiconductor Technology Introduction to Superconductor

More information

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice ECOM 4311 Digital System Design using VHDL Chapter 9 Sequential Circuit Design: Practice Outline 1. Poor design practice and remedy 2. More counters 3. Register as fast temporary storage 4. Pipelined circuit

More information

Can RSFQ Logic Circuits be Scaled to Deep Submicron Junctions?

Can RSFQ Logic Circuits be Scaled to Deep Submicron Junctions? 1 Can RSFQ Logic Circuits be Scaled to Deep Submicron Junctions? Alan M. Kadin, Cesar A. Mancini, Marc J. Feldman, and Darren K. Brock Abstract Scaling of niobium RSFQ integrated circuit technology to

More information

2 SQUID. (Superconductive QUantum Interference Device) SQUID 2. ( 0 = Wb) SQUID SQUID SQUID SQUID Wb ( ) SQUID SQUID SQUID

2 SQUID. (Superconductive QUantum Interference Device) SQUID 2. ( 0 = Wb) SQUID SQUID SQUID SQUID Wb ( ) SQUID SQUID SQUID SQUID (Superconductive QUantum Interference Device) SQUID ( 0 = 2.07 10-15 Wb) SQUID SQUID SQUID SQUID 10-20 Wb (10-5 0 ) SQUID SQUID ( 0 ) SQUID 0 [1, 2] SQUID 0.1 0 SQUID SQUID 10-4 0 1 1 1 SQUID 2 SQUID

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

osephson Output Interfaces for RSPQ Circuits

osephson Output Interfaces for RSPQ Circuits 2826 I TRANSACTIONS ON APPLID SUPRCONDUCTIVITY, VOL. 7, NO. 2, JUN 1997 osephson Output Interfaces for RSPQ Circuits OIeg A. Mukhanov, Sergey V. Rylov, Dmitri V. Gaidarenko HYPRS, lmsford, NY 10523 Noshir

More information

To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002.

To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002. To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002. 3.5. A 1.3 GSample/s 10-tap Full-rate Variable-latency Self-timed FIR filter

More information

Spec. Instructor: Center

Spec. Instructor: Center PDHonline Course E379 (5 PDH) Digital Logic Circuits Volume III Spec ial Logic Circuits Instructor: Lee Layton, P.E 2012 PDH Online PDH Center 5272 Meadow Estatess Drive Fairfax, VA 22030-6658 Phone &

More information

serial-to-parallel converter

serial-to-parallel converter Rapid single ux quantum fast-packet switching element mitry Y. Zinoviev State University of New York, epartment of Physics Stony Brook, New York 11794-3800 ABSRAC We present the design of a Rapid Single-Flux

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Integrated Circuit Design 813 Stellenbosch University Dept. E&E Engineering

Integrated Circuit Design 813 Stellenbosch University Dept. E&E Engineering ICD 813 Lecture 1 p.1 Integrated Circuit Design 813 Stellenbosch University Dept. E&E Engineering 2013 Course contents Lecture 1: GHz digital electronics: RSFQ logic family Introduction to fast digital

More information

Superconducting Digital Signal Processor for Telecommunication

Superconducting Digital Signal Processor for Telecommunication Superconducting Digital Signal Processor for Telecommunication Anna Herr Microtechnology and Nanoscience, Chalmers University of Technology 41296 Gothenburg, Sweden e-mail: anna.herr@chalmers.se Abstract-

More information

54. IWK Internationales Wissenschaftliches Kolloquium International Scientific Colloquium

54. IWK Internationales Wissenschaftliches Kolloquium International Scientific Colloquium 07-10 September 2009 PROCEEDINGS 54. IWK Internationales Wissenschaftliches Kolloquium International Scientific Colloquium Information Technology and Electrical Engineering - Devices and Systems, Materials

More information

Computer Architecture and Organization:

Computer Architecture and Organization: Computer Architecture and Organization: L03: Register transfer and System Bus By: A. H. Abdul Hafez Abdul.hafez@hku.edu.tr, ah.abdulhafez@gmail.com 1 CAO, by Dr. A.H. Abdul Hafez, CE Dept. HKU Outlines

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Computer Arithmetic (2)

Computer Arithmetic (2) Computer Arithmetic () Arithmetic Units How do we carry out,,, in FPGA? How do we perform sin, cos, e, etc? ELEC816/ELEC61 Spring 1 Hayden Kwok-Hay So H. So, Sp1 Lecture 7 - ELEC816/61 Addition Two ve

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier M.Shiva Krushna M.Tech, VLSI Design, Holy Mary Institute of Technology And Science, Hyderabad, T.S,

More information

Multi-J c (Josephson Critical Current Density) Process for Superconductor Integrated Circuits Daniel T. Yohannes, Amol Inamdar, and Sergey K.

Multi-J c (Josephson Critical Current Density) Process for Superconductor Integrated Circuits Daniel T. Yohannes, Amol Inamdar, and Sergey K. IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 19, NO. 3, JUNE 2009 149 Multi-J c (Josephson Critical Current Density) Process for Superconductor Integrated Circuits Daniel T. Yohannes, Amol Inamdar,

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

Binary Adder- Subtracter in QCA

Binary Adder- Subtracter in QCA Binary Adder- Subtracter in QCA Kalahasti. Tanmaya Krishna Electronics and communication Engineering Sri Vishnu Engineering College for Women Bhimavaram, India Abstract: In VLSI fabrication, the chip size

More information

RSFQ DC to SFQ Converter with Reduced Josephson Current Density

RSFQ DC to SFQ Converter with Reduced Josephson Current Density Proceedings of the th WSEAS International Conference on CIRCUITS, Agios Nikolaos, Crete Island, Greece, July 3-5, 7 8 RSFQ DC to SFQ Converter with Reduced Josephson Current Density VALERI MLADENOV Department

More information

Recent development of large-scale reconfigurable data-paths using RSFQ circuits

Recent development of large-scale reconfigurable data-paths using RSFQ circuits Yokohama National University 21 st International Symposium on Superconductivity Tsukuba, Japan October 27-29, 29, 2008 Recent development of large-scale reconfigurable data-paths using RSFQ circuits Nobuyuki

More information

Design and operation of a rapid single flux quantum demultiplexer

Design and operation of a rapid single flux quantum demultiplexer INIUE OF PHYIC PUBLIHING upercond. ci. echnol. 15 (2002) 1744 1748 UPECONDUCO CIENCE AND ECHNOLOGY PII: 0953-2048(02)38552-X Design and operation of a rapid single flux quantum demultiplexer Masaaki Maezawa,

More information

Functional Integration of Parallel Counters Based on Quantum-Effect Devices

Functional Integration of Parallel Counters Based on Quantum-Effect Devices Proceedings of the th IMACS World Congress (ol. ), Berlin, August 997, Special Session on Computer Arithmetic, pp. 7-78 Functional Integration of Parallel Counters Based on Quantum-Effect Devices Christian

More information

IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 28, NO. 2, MARCH

IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 28, NO. 2, MARCH IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 28, NO. 2, MARCH 2018 1300212 Superconducting Magnetic Field Programmable Gate Array Naveen Kumar Katam, Oleg A. Mukhanov, Fellow, IEEE, and Massoud

More information

Chapter 1: Digital logic

Chapter 1: Digital logic Chapter 1: Digital logic I. Overview In PHYS 252, you learned the essentials of circuit analysis, including the concepts of impedance, amplification, feedback and frequency analysis. Most of the circuits

More information

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure Vol. 2, Issue. 6, Nov.-Dec. 2012 pp-4736-4742 ISSN: 2249-6645 Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure R. Devarani, 1 Mr. C.S.

More information

Option 1: A programmable Digital (FIR) Filter

Option 1: A programmable Digital (FIR) Filter Design Project Your design project is basically a module filter. A filter is basically a weighted sum of signals. The signals (input) may be related, e.g. a delayed versions of each other in time, e.g.

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Project Background High speed multiplication is another critical function in a range of very large scale integration (VLSI) applications. Multiplications are expensive and slow

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

RSFQ Logic/Memory Family: A New Josephson-Junction Technology for Sub-Terahertz-Clock-Frequency Digital Systems

RSFQ Logic/Memory Family: A New Josephson-Junction Technology for Sub-Terahertz-Clock-Frequency Digital Systems IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. I, NO. I, MARCH 1991 RSFQ Logic/Memory Family: A New Josephson-Junction Technology for Sub-Terahertz-Clock-Frequency Digital Systems K. K. Likharev

More information

EXPERIMENT #5 COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

EXPERIMENT #5 COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 La Rosa EXPERIMENT #5 COMINTIONL and SEUENTIL LOGIC CIRCUITS Hardware implementation and software design I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational

More information

Five-Input Majority Gate Based QCA Decoder

Five-Input Majority Gate Based QCA Decoder , pp.95-99 http://dx.doi.org/10.14257/astl.2016.122.18 Five-Input Majority Gate Based QCA Decoder Jun-Cheol Jeon Department of Computer Engineering at Kumoh National Institute of Technology, Gumi, Korea

More information

A fast programmable frequency divider with a wide dividing-ratio range and 50% duty-cycle

A fast programmable frequency divider with a wide dividing-ratio range and 50% duty-cycle A fast programmable frequency divider with a wide dividing-ratio range and 50% duty-cycle Mo Zhang a), Syed Kamrul Islam b), and M. Rafiqul Haider c) Department of Electrical & Computer Engineering, University

More information

SYNTHESIS OF CYCLIC ENCODER AND DECODER FOR HIGH SPEED NETWORKS

SYNTHESIS OF CYCLIC ENCODER AND DECODER FOR HIGH SPEED NETWORKS SYNTHESIS OF CYCLIC ENCODER AND DECODER FOR HIGH SPEED NETWORKS MARIA RIZZI, MICHELE MAURANTONIO, BENIAMINO CASTAGNOLO Dipartimento di Elettrotecnica ed Elettronica, Politecnico di Bari v. E. Orabona,

More information

Chapter 2 Direct-Sequence Systems

Chapter 2 Direct-Sequence Systems Chapter 2 Direct-Sequence Systems A spread-spectrum signal is one with an extra modulation that expands the signal bandwidth greatly beyond what is required by the underlying coded-data modulation. Spread-spectrum

More information

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1 LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM K-Map for SUM: K-Map for CARRY: SUM = A B + AB CARRY = AB 22/ODD/III/ECE/DE/LM Page No. EXPT NO: DATE : DESIGN OF ADDER AND SUBTRACTOR AIM: To design

More information

Digital Circuits Using Self-Shunted Nb/NbxSi1-x/Nb Josephson Junctions

Digital Circuits Using Self-Shunted Nb/NbxSi1-x/Nb Josephson Junctions This paper was accepted by Appl. Phys. Lett. (2010). The final version was published in vol. 96, issue No. 21: http://apl.aip.org/applab/v96/i21/p213510_s1?isauthorized=no Digital Circuits Using Self-Shunted

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

Design and demonstration of a 5-bit flash-type SFQ A/D converter integrated with error correction and interleaving circuits

Design and demonstration of a 5-bit flash-type SFQ A/D converter integrated with error correction and interleaving circuits & ESAS European Superconductivity News Forum (ESNF), No. 14, October 21 The published version of this manuscript appeared in IEEE Transactions on Applied Superconductivity 21, Issue 3, 671-676 (211) 2EB-1,

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

Communication using Synchronization of Chaos in Semiconductor Lasers with optoelectronic feedback

Communication using Synchronization of Chaos in Semiconductor Lasers with optoelectronic feedback Communication using Synchronization of Chaos in Semiconductor Lasers with optoelectronic feedback S. Tang, L. Illing, J. M. Liu, H. D. I. barbanel and M. B. Kennel Department of Electrical Engineering,

More information

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 69 CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 4.1 INTRODUCTION Multiplication is one of the basic functions used in digital signal processing. It requires more

More information

Design and Performance Analysis of a Reconfigurable Fir Filter

Design and Performance Analysis of a Reconfigurable Fir Filter Design and Performance Analysis of a Reconfigurable Fir Filter S.karthick Department of ECE Bannari Amman Institute of Technology Sathyamangalam INDIA Dr.s.valarmathy Department of ECE Bannari Amman Institute

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Mahendra Engineering College, Namakkal, Tamilnadu, India.

Mahendra Engineering College, Namakkal, Tamilnadu, India. Implementation of Modified Booth Algorithm for Parallel MAC Stephen 1, Ravikumar. M 2 1 PG Scholar, ME (VLSI DESIGN), 2 Assistant Professor, Department ECE Mahendra Engineering College, Namakkal, Tamilnadu,

More information

Digital Controller Chip Set for Isolated DC Power Supplies

Digital Controller Chip Set for Isolated DC Power Supplies Digital Controller Chip Set for Isolated DC Power Supplies Aleksandar Prodic, Dragan Maksimovic and Robert W. Erickson Colorado Power Electronics Center Department of Electrical and Computer Engineering

More information

TIMA Lab. Research Reports

TIMA Lab. Research Reports ISSN 292-862 TIMA Lab. Research Reports TIMA Laboratory, 46 avenue Félix Viallet, 38 Grenoble France ON-CHIP TESTING OF LINEAR TIME INVARIANT SYSTEMS USING MAXIMUM-LENGTH SEQUENCES Libor Rufer, Emmanuel

More information

A rad-hard 8-channel 12-bit resolution ADC for slow control applications in the LHC environment

A rad-hard 8-channel 12-bit resolution ADC for slow control applications in the LHC environment A rad-hard 8-channel 12-bit resolution ADC for slow control applications in the LHC environment G. Magazzù 1,A.Marchioro 2,P.Moreira 2 1 INFN-PISA, Via Livornese 1291 56018 S.Piero a Grado (Pisa), Italy

More information

DIGITAL ELECTRONICS QUESTION BANK

DIGITAL ELECTRONICS QUESTION BANK DIGITAL ELECTRONICS QUESTION BANK Section A: 1. Which of the following are analog quantities, and which are digital? (a) Number of atoms in a simple of material (b) Altitude of an aircraft (c) Pressure

More information

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY Volume-, Issue-, March 2 POWER EFFICIENT DESIGN OF COUNTER ON.2 MICRON TECHNOLOGY Simmy Hirkaney, Sandip Nemade, Vikash Gupta Abstract As chip manufacturing technology is suddenly on the threshold of major

More information

International Journal of Trend in Research and Development, Volume-2 Issue-6, ISSN:

International Journal of Trend in Research and Development, Volume-2 Issue-6, ISSN: An Efficient Implementation and Analysis for Performance Evaluation of Multiplier and Adder to Minimize the Consumption of Energy During Multiplication and Addition Methodology 1 S.Gayathri, 2 T.Vanitha,

More information

J. Electrical Systems 13-3 (2017): Regular paper. An efficient digital signal processing method for RRNS-based DS-CDMA systems

J. Electrical Systems 13-3 (2017): Regular paper. An efficient digital signal processing method for RRNS-based DS-CDMA systems Peter Olsovsky 1,*, Peter Podhoransky 1 J. Electrical Systems 13-3 (2017): 606-617 Regular paper An efficient digital signal processing method for RRNS-based DS-CDMA systems JES Journal of Electrical Systems

More information

LM2240 Programmable Timer Counter

LM2240 Programmable Timer Counter LM2240 Programmable Timer Counter General Description The LM2240 Programmable Timer Counter is a monolithic controller capable of both monostable and astable operation Monostable operation allows accurate

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

Digital Electronics Course Objectives

Digital Electronics Course Objectives Digital Electronics Course Objectives In this course, we learning is reported using Standards Referenced Reporting (SRR). SRR seeks to provide students with grades that are consistent, are accurate, and

More information

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI 6489 (Approved By AICTE,Newdelhi Affiliated To ANNA UNIVERSITY::Chennai) CS 62 DIGITAL ELECTRONICS LAB (REGULATION-23) LAB MANUAL DEPARTMENT OF

More information

Computer Architecture: Part II. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University

Computer Architecture: Part II. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Computer Architecture: Part II First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Outline Combinational Circuits Flips Flops Flops Sequential Circuits 204231: Computer

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Gowridevi.B 1, Swamynathan.S.M 2, Gangadevi.B 3 1,2 Department of ECE, Kathir College of Engineering 3 Department of ECE,

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

Preface... iii. Chapter 1: Diodes and Circuits... 1

Preface... iii. Chapter 1: Diodes and Circuits... 1 Table of Contents Preface... iii Chapter 1: Diodes and Circuits... 1 1.1 Introduction... 1 1.2 Structure of an Atom... 2 1.3 Classification of Solid Materials on the Basis of Conductivity... 2 1.4 Atomic

More information

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices August 2003, ver. 1.0 Application Note 306 Introduction Stratix, Stratix GX, and Cyclone FPGAs have dedicated architectural

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

A two-stage shift register for clocked Quantum-dot Cellular Automata

A two-stage shift register for clocked Quantum-dot Cellular Automata A two-stage shift register for clocked Quantum-dot Cellular Automata Alexei O. Orlov, Ravi Kummamuru, R. Ramasubramaniam, Craig S. Lent, Gary H. Bernstein, and Gregory L. Snider. Dept. of Electrical Engineering,

More information

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm V.Sandeep Kumar Assistant Professor, Indur Institute Of Engineering & Technology,Siddipet

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates

Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates Objectives In this chapter, you will learn about The binary numbering system Boolean logic and gates Building computer circuits

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

QCA Based Design of Serial Adder

QCA Based Design of Serial Adder QCA Based Design of Serial Adder Tina Suratkar Department of Electronics & Telecommunication, Yeshwantrao Chavan College of Engineering, Nagpur, India E-mail : tina_suratkar@rediffmail.com Abstract - This

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

An Efficient Reconfigurable Fir Filter based on Twin Precision Multiplier and Low Power Adder

An Efficient Reconfigurable Fir Filter based on Twin Precision Multiplier and Low Power Adder An Efficient Reconfigurable Fir Filter based on Twin Precision Multiplier and Low Power Adder Sony Sethukumar, Prajeesh R, Sri Vellappally Natesan College of Engineering SVNCE, Kerala, India. Manukrishna

More information

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design of Wallace Tree Multiplier using Compressors K.Gopi Krishna *1, B.Santhosh 2, V.Sridhar 3 gopikoleti@gmail.com Abstract

More information

Design and Analysis of Adders using Nanotechnology Based Quantum dot Cellular Automata

Design and Analysis of Adders using Nanotechnology Based Quantum dot Cellular Automata Journal of Computer Science 7 (7): 1072-1079, 2011 ISSN 1549-3636 2011 Science Publications Design and Analysis of Adders using Nanotechnology Based Quantum dot Cellular Automata 1 S. Karthigai Lakshmi

More information