Exploring Computation- Communication Tradeoffs in Camera Systems

Size: px
Start display at page:

Download "Exploring Computation- Communication Tradeoffs in Camera Systems"

Transcription

1 Exploring Computation- Communication Tradeoffs in Camera Systems Amrita Mazumdar Thierry Moreau Sung Kim Meghan Cowan Armin Alaghi Luis Ceze Mark Oskin Visvesh Sathe IISWC

2 Camera applications are a prominent workload with tight constraints low-power light weight real-time processing light weight energy harvesting camera low-power augmented reality glasses real-time processing real-time processing large data size video surveillance cameras large data size 3D-360 virtual reality camera rig 2

3 Hardware implementations compound the camera system design space camera system constraint implementation bandwidth power ASIC FPGA GPU time size DSP CPU DogChat 3

4 We can represent camera applications as camera processing pipelines to clarify design space exploration sensor block 1 block 2 block 3 block 4 functions in the application 4

5 We can represent camera applications as camera processing pipelines to clarify design space exploration sensor image processing face feature tracking image rendering DogChat 5

6 Developers can trade off between computation and communication costs sensor image processing face feature tracking image rendering offloaded to cloud DogChat 6

7 Developers can trade off between computation and communication costs sensor image processing face feature tracking image rendering in-camera processing offloaded to cloud DogChat 7

8 Optional and required blocks in camera pipelines introduce more tradeoffs edge motion motion tracking sensor image processing face feature tracking image rendering required optional 8

9 Custom hardware platforms explode the camera system design space ASIC edge DSP motion motion tracking FPGA GPU sensor image processing face feature tracking image rendering DSP FPGA CPU required optional 9

10 Custom hardware platforms explode the camera system design space ASIC edge DSP motion motion tracking FPGA In-camera processing pipelines can help us GPU sensor evaluate these tradeoffs! image processing face feature tracking image rendering DSP FPGA CPU required optional 10

11 Challenges for modern camera systems Low-power: face authentication for energy-harvesting cameras with ASIC design motion face neural network Low latency: real-time virtual reality for multi-camera rigs with FPGA acceleration stitch prep align depth 11

12 Challenges for modern camera systems Low-power: face authentication for energy-harvesting cameras with ASIC design motion face neural network Low latency: real-time virtual reality for multi-camera rigs with FPGA acceleration stitch prep align depth 12

13 Face authentication with energy harvesting cameras WISP Cam energy-harvesting camera powered by RF 1 frame / second ~1 mw processing / frame 13

14 Face authentication with energy harvesting cameras Is this Armin? 14

15 CPU-based face authentication neural networks can exceed WISPcam power budgets sensor neural network other application functions on-chip CPU cloud 15

16 CPU-based face authentication neural networks can exceed WISPcam power budgets sensor motion face neural network other application functions on-chip circuit ASIC hardware cloud adding optional blocks can reduce power consumption for a neural network 16

17 Exploring design tradeoffs in ASIC accelerators neural network face DMA Master Bus Scheduler SNNAP SRAM PU control PE... PE SIG d_in offset 8 acc PE0 PE1 PE2 PE3 weight weight weight weight MUL MUL MUL MUL ADD ADD ADD ADD sigmoid unit acc 26 acc. fifo sig. many fifo more details 8 d_out pixels in VJ integral image accumulator classifier unit window buffer stage unit threshold unit feature unit input row a d + b c + weight1 a d + b c + weight2 a d + b c + weight3 integral accumulator += previous row feature unit - x - x - x yes weight no weight integral row output threshold > Evaluated NN topology and hardware impact on energy and accuracy in paper! Streaming face accelerator Selected a network topology and used 8-bit datapaths for optimal energy/accuracy point Explored classifier and other algorithm parameters to optimize energy optimality 17

18 Evaluation Which pipeline achieves the lowest overall power? Synthesized ASIC accelerators in Synopsys Constructed simulator to evaluate power consumption on real-world video input Computed power for computation and transfer of resulting data for each pipeline configuration 18

19 Which pipeline achieves the lowest power consumption? (ratios) platform configuration compute transfer sensor <1% >99% sensor motion <1% >99% 11,340 3,731 sensor face detect 10% 90% 374 sensor NN 16% 84% 782,090 sensor motion face detect >99% <1% 132 sensor motion NN >99% <1% 257,236 sensor face detect NN >99% <1% sensor motion face detect NN >99% <1% log Power (µw) 19

20 Which pipeline achieves the lowest power consumption? (ratios) platform configuration compute transfer sensor <1% >99% sensor motion <1% >99% 11,340 3,731 sensor face detect 10% 90% sensor NN 16% 84% sensor motion face detect >99% <1% sensor motion NN >99% <1% ,090 prefilters reduce overall power 257,236 sensor face detect NN >99% <1% sensor motion face detect NN >99% <1% log Power (µw) 20

21 Which pipeline achieves the lowest power consumption? (ratios) platform configuration compute transfer sensor <1% >99% 11,340 sensor motion <1% >99% 3,731 just using NN sensor face detect 10% 90% 374 sensor NN 16% 84% 782,090 sensor motion face detect >99% <1% sensor motion NN >99% <1% ,236 prefilters with NN use less power sensor face detect NN >99% <1% 419 sensor motion face detect NN >99% <1% log Power (µw) 21

22 Which pipeline achieves the lowest power consumption? (ratios) platform configuration compute transfer sensor <1% >99% sensor motion <1% >99% 11,340 3,731 sensor face detect 10% 90% sensor NN 16% 84% sensor motion face detect >99% <1% sensor motion NN >99% <1% ,090 most powerefficient 257,236 sensor face detect NN >99% <1% sensor motion face detect NN >99% <1% 419 most powerefficient 160 with on-chip NN log Power (µw) 22

23 In-camera processing for face authentication motion face neural network In isolation, even well-designed hardware can show sub-optimal performance Optional blocks can improve the overall cost, if they balance compute and communication better than the original design 23

24 Challenges for modern camera systems Low-power: face authentication for energy-harvesting cameras with ASIC design motion face neural network Low latency: real-time virtual reality for multi-camera rigs with FPGA acceleration stitch prep align depth 24

25 Challenges for modern camera systems Low-power: face authentication for energy-harvesting cameras with ASIC design motion face neural network Low latency: real-time virtual reality for multi-camera rigs with FPGA acceleration stitch prep align depth 25

26 Producing real-time VR video from a camera rig Goal: 30 fps 3D-360 stereo video 16 GoPro cameras 1.8 GB/s output 4K-30 fps 3.6 GB/s raw video 26

27 Producing real-time VR video from a camera rig 16 GoPro cameras 4K-30 fps 3.6 GB/s raw video cloud processing prevents realtime video Goal: 30 fps 3D-360 stereo video 1.8 GB/s output 27

28 VR pipeline is usually offloaded to perform heavy computation offloaded to cloud sensor prep image align depth from flow image stitch stream to viewer 5% 20% 70% 5% processing time need to accelerate depth from flow to achieve high performance 28

29 Offloading before the costly step doesn t avoid compute-communication tradeoffs Video Frame Size (MB) image alignment step produces significant intermediate data offloading early on is still 2x final output size sensor prep image align depth from flow image stitch stream to viewer 29

30 Evaluation Which pipeline achieves the highest frame rate? Designed a simple parallel accelerator for Xilinx Zynq SoC, simulated for Virtex UltraScale+ implementation details in paper Evaluated against CPU and GPU implementations in Halide Assumed 2GB/s network link for communication 30

31 Which pipeline achieves the highest frame rate? (FPS) pipeline configuration compute transfer sensor sensor prep sensor prep align sensor prep align depth (CPU) sensor prep align depth (GPU) sensor prep align depth (FPGA) sensor prep align depth (CPU) stitch sensor prep align depth (GPU) stitch sensor prep align depth (FPGA) stitch effective FPS 31

32 Which pipeline achieves the highest frame rate? (FPS) pipeline configuration compute transfer sensor sensor prep sensor prep align sensor prep align depth (CPU) sensor prep align depth (GPU) sensor prep align depth (FPGA) sensor prep align depth (CPU) stitch sensor prep align depth (GPU) stitch CPU results are slowest sensor prep align depth (FPGA) stitch effective FPS 32

33 Which pipeline achieves the highest frame rate? (FPS) pipeline configuration compute transfer sensor sensor prep sensor prep align sensor prep align depth (CPU) sensor prep align depth (GPU) sensor prep align depth (FPGA) sensor prep align depth (CPU) stitch sensor prep align depth (GPU) stitch Data size is too big after depth for offloading sensor prep align depth (FPGA) stitch effective FPS 33

34 Which pipeline achieves the highest frame rate? (FPS) pipeline configuration compute transfer sensor sensor prep sensor prep align sensor prep align depth (CPU) sensor prep align depth (GPU) sensor prep align depth (FPGA) sensor prep align depth (CPU) stitch full pipeline with FPGA is only one that achieves realtime frame rate sensor prep align depth (GPU) stitch sensor prep align depth (FPGA) stitch effective FPS 34

35 In-camera processing for real-time VR video stitch prep align depth Computation and communication together highlight benefits not seen when considered separately For VR video, in-camera processing pipelines enable applications that could not even be achieved via cloud offload 35

36 In-camera processing pipelines help characterize camera systems In-camera pipelines evaluate computation-communication trade-offs Use hardware-software co-design to balance constraints and optimize designs Achieve optimal performance by considering bottlenecks in context of full system Thank you!

Creating Intelligence at the Edge

Creating Intelligence at the Edge Creating Intelligence at the Edge Vladimir Stojanović E3S Retreat September 8, 2017 The growing importance of machine learning Page 2 Applications exploding in the cloud Huge interest to move to the edge

More information

Image Processing Architectures (and their future requirements)

Image Processing Architectures (and their future requirements) Lecture 16: Image Processing Architectures (and their future requirements) Visual Computing Systems Smart phone processing resources Example SoC: Qualcomm Snapdragon Image credit: Qualcomm Apple A7 (iphone

More information

Image processing. Case Study. 2-diemensional Image Convolution. From a hardware perspective. Often massively yparallel.

Image processing. Case Study. 2-diemensional Image Convolution. From a hardware perspective. Often massively yparallel. Case Study Image Processing Image processing From a hardware perspective Often massively yparallel Can be used to increase throughput Memory intensive Storage size Memory bandwidth -diemensional Image

More information

Arda Gumusalan CS788Term Project 2

Arda Gumusalan CS788Term Project 2 Arda Gumusalan CS788Term Project 2 1 2 Logical topology formation. Effective utilization of communication channels. Effective utilization of energy. 3 4 Exploits the tradeoff between CPU speed and time.

More information

Vision with Precision Webinar Series Augmented & Virtual Reality Aaron Behman, Xilinx Mark Beccue, Tractica. Copyright 2016 Xilinx

Vision with Precision Webinar Series Augmented & Virtual Reality Aaron Behman, Xilinx Mark Beccue, Tractica. Copyright 2016 Xilinx Vision with Precision Webinar Series Augmented & Virtual Reality Aaron Behman, Xilinx Mark Beccue, Tractica Xilinx Vision with Precision Webinar Series Perceiving Environment / Taking Action: AR / VR Monitoring

More information

Implementation of Face Detection System Based on ZYNQ FPGA Jing Feng1, a, Busheng Zheng1, b* and Hao Xiao1, c

Implementation of Face Detection System Based on ZYNQ FPGA Jing Feng1, a, Busheng Zheng1, b* and Hao Xiao1, c 6th International Conference on Mechatronics, Computer and Education Informationization (MCEI 2016) Implementation of Face Detection System Based on ZYNQ FPGA Jing Feng1, a, Busheng Zheng1, b* and Hao

More information

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND.

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. December 3-6, 2018 Santa Clara Convention Center CA, USA REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. https://tmt.knect365.com/risc-v-summit @risc_v ACCELERATING INFERENCING ON THE EDGE WITH RISC-V

More information

IHV means Independent Hardware Vendor. Example is Qualcomm Technologies Inc. that makes Snapdragon processors. OEM means Original Equipment

IHV means Independent Hardware Vendor. Example is Qualcomm Technologies Inc. that makes Snapdragon processors. OEM means Original Equipment 1 2 IHV means Independent Hardware Vendor. Example is Qualcomm Technologies Inc. that makes Snapdragon processors. OEM means Original Equipment Manufacturer. Examples are smartphone manufacturers. Tuning

More information

Enabling Mobile Virtual Reality ARM 助力移动 VR 产业腾飞

Enabling Mobile Virtual Reality ARM 助力移动 VR 产业腾飞 Enabling Mobile Virtual Reality ARM 助力移动 VR 产业腾飞 Nathan Li Ecosystem Manager Mobile Compute Business Line Shenzhen, China May 20, 2016 3 Photograph: Mark Zuckerberg Facebook https://www.facebook.com/photo.php?fbid=10102665120179591&set=pcb.10102665126861201&type=3&theater

More information

Hardware-accelerated CCD readout smear correction for Fast Solar Polarimeter

Hardware-accelerated CCD readout smear correction for Fast Solar Polarimeter Welcome Hardware-accelerated CCD readout smear correction for Fast Solar Polarimeter Stefan Tabel and Korbinian Weikl Semiconductor Laboratory of the Max Planck Society, Munich, Germany Walter Stechele

More information

23270: AUGMENTED REALITY FOR NAVIGATION AND INFORMATIONAL ADAS. Sergii Bykov Technical Lead Machine Learning 12 Oct 2017

23270: AUGMENTED REALITY FOR NAVIGATION AND INFORMATIONAL ADAS. Sergii Bykov Technical Lead Machine Learning 12 Oct 2017 23270: AUGMENTED REALITY FOR NAVIGATION AND INFORMATIONAL ADAS Sergii Bykov Technical Lead Machine Learning 12 Oct 2017 Product Vision Company Introduction Apostera GmbH with headquarter in Munich, was

More information

Column-Parallel Architecture for Line-of-Sight Detection Image Sensor Based on Centroid Calculation

Column-Parallel Architecture for Line-of-Sight Detection Image Sensor Based on Centroid Calculation ITE Trans. on MTA Vol. 2, No. 2, pp. 161-166 (2014) Copyright 2014 by ITE Transactions on Media Technology and Applications (MTA) Column-Parallel Architecture for Line-of-Sight Detection Image Sensor Based

More information

Implementation of a Streaming Camera using an FPGA and CMOS Image Sensor. Daniel Crispell Brown University

Implementation of a Streaming Camera using an FPGA and CMOS Image Sensor. Daniel Crispell Brown University Implementation of a Streaming Camera using an FPGA and CMOS Image Sensor Daniel Crispell Brown University 1. Introduction Because of the constantly decreasing size and cost of image sensors and increasing

More information

An energy-efficient coarse grained spatial architecture for convolutional neural networks AlexNet

An energy-efficient coarse grained spatial architecture for convolutional neural networks AlexNet LETTER IEICE Electronics Express, Vol.14, No.15, 1 12 An energy-efficient coarse grained spatial architecture for convolutional neural networks AlexNet Boya Zhao a), Mingjiang Wang b), and Ming Liu Harbin

More information

Image Processing Architectures (and their future requirements)

Image Processing Architectures (and their future requirements) Lecture 17: Image Processing Architectures (and their future requirements) Visual Computing Systems Smart phone processing resources Qualcomm snapdragon Image credit: Qualcomm Apple A7 (iphone 5s) Chipworks

More information

A SCALABLE ARCHITECTURE FOR VARIABLE BLOCK SIZE MOTION ESTIMATION ON FIELD-PROGRAMMABLE GATE ARRAYS. Theepan Moorthy and Andy Ye

A SCALABLE ARCHITECTURE FOR VARIABLE BLOCK SIZE MOTION ESTIMATION ON FIELD-PROGRAMMABLE GATE ARRAYS. Theepan Moorthy and Andy Ye A SCALABLE ARCHITECTURE FOR VARIABLE BLOCK SIZE MOTION ESTIMATION ON FIELD-PROGRAMMABLE GATE ARRAYS Theepan Moorthy and Andy Ye Department of Electrical and Computer Engineering Ryerson University 350

More information

Unpredictable movement performance of Virtual Reality headsets

Unpredictable movement performance of Virtual Reality headsets Unpredictable movement performance of Virtual Reality headsets 2 1. Introduction Virtual Reality headsets use a combination of sensors to track the orientation of the headset, in order to move the displayed

More information

AI Application Processing Requirements

AI Application Processing Requirements AI Application Processing Requirements 1 Low Medium High Sensor analysis Activity Recognition (motion sensors) Stress Analysis or Attention Analysis Audio & sound Speech Recognition Object detection Computer

More information

Harnessing the Power of AI: An Easy Start with Lattice s sensai

Harnessing the Power of AI: An Easy Start with Lattice s sensai Harnessing the Power of AI: An Easy Start with Lattice s sensai A Lattice Semiconductor White Paper. January 2019 Artificial intelligence, or AI, is everywhere. It s a revolutionary technology that is

More information

Energy-Efficient Hybrid Stochastic-Binary Neural Networks for Near-Sensor Computing

Energy-Efficient Hybrid Stochastic-Binary Neural Networks for Near-Sensor Computing Energy-Efficient Hybrid Stochastic-Binary Neural Networks for Near-Sensor Computing Vincent T. Lee, Armin Alaghi, John P. Hayes *, Visvesh Sathe, Luis Ceze Department of Computer Science and Engineering,

More information

CHAPTER 6 CONCLUSION AND FUTURE SCOPE

CHAPTER 6 CONCLUSION AND FUTURE SCOPE 162 CHAPTER 6 CONCLUSION AND FUTURE SCOPE 6.1 Conclusion Today's 3G wireless systems require both high linearity and high power amplifier efficiency. The high peak-to-average ratios of the digital modulation

More information

Low-Power Communications and Neural Spike Sorting

Low-Power Communications and Neural Spike Sorting CASPER Workshop 2010 Low-Power Communications and Neural Spike Sorting CASPER Tools in Front-to-Back DSP ASIC Development Henry Chen henryic@ee.ucla.edu August, 2010 Introduction Parallel Data Architectures

More information

ESE532: System-on-a-Chip Architecture. Today. Message. Crossbar. Interconnect Concerns

ESE532: System-on-a-Chip Architecture. Today. Message. Crossbar. Interconnect Concerns ESE532: System-on-a-Chip Architecture Day 19: March 29, 2017 Network-on-a-Chip (NoC) Today Ring 2D Mesh Networks Design Issues Buffering and deflection Dynamic and static routing Penn ESE532 Spring 2017

More information

Transforming Industries with Enlighten

Transforming Industries with Enlighten Transforming Industries with Enlighten Alex Shang Senior Business Development Manager ARM Tech Forum 2016 Korea June 28, 2016 2 ARM: The Architecture for the Digital World ARM is about transforming markets

More information

CMOS MT9D111Camera Module 1/3.2-Inch 2-Megapixel Module Datasheet

CMOS MT9D111Camera Module 1/3.2-Inch 2-Megapixel Module Datasheet CMOS MT9D111Camera Module 1/3.2-Inch 2-Megapixel Module Datasheet Rev 1.0, Mar 2013 Table of Contents 1 Introduction... 2 2 Features... 2 3 Block Diagram... 3 4 Application... 4 5 Pin Definition... 6 6

More information

Open Source Digital Camera on Field Programmable Gate Arrays

Open Source Digital Camera on Field Programmable Gate Arrays Open Source Digital Camera on Field Programmable Gate Arrays Cristinel Ababei, Shaun Duerr, Joe Ebel, Russell Marineau, Milad Ghorbani Moghaddam, and Tanzania Sewell Department of Electrical and Computer

More information

Out-of-Order Execution. Register Renaming. Nima Honarmand

Out-of-Order Execution. Register Renaming. Nima Honarmand Out-of-Order Execution & Register Renaming Nima Honarmand Out-of-Order (OOO) Execution (1) Essence of OOO execution is Dynamic Scheduling Dynamic scheduling: processor hardware determines instruction execution

More information

Lecture 19: Depth Cameras. Kayvon Fatahalian CMU : Graphics and Imaging Architectures (Fall 2011)

Lecture 19: Depth Cameras. Kayvon Fatahalian CMU : Graphics and Imaging Architectures (Fall 2011) Lecture 19: Depth Cameras Kayvon Fatahalian CMU 15-869: Graphics and Imaging Architectures (Fall 2011) Continuing theme: computational photography Cheap cameras capture light, extensive processing produces

More information

Console Architecture 1

Console Architecture 1 Console Architecture 1 Overview What is a console? Console components Differences between consoles and PCs Benefits of console development The development environment Console game design PS3 in detail

More information

Hello, and welcome to this presentation of the STM32 Chrom-ART Accelerator. It covers the features of this of this adaptive real-time accelerator

Hello, and welcome to this presentation of the STM32 Chrom-ART Accelerator. It covers the features of this of this adaptive real-time accelerator Hello, and welcome to this presentation of the STM32 Chrom-ART Accelerator. It covers the features of this of this adaptive real-time accelerator block, which is widely used for graphic computing in the

More information

Data acquisition and Trigger (with emphasis on LHC)

Data acquisition and Trigger (with emphasis on LHC) Lecture 2 Data acquisition and Trigger (with emphasis on LHC) Introduction Data handling requirements for LHC Design issues: Architectures Front-end, event selection levels Trigger Future evolutions Conclusion

More information

Best Practices for VR Applications

Best Practices for VR Applications Best Practices for VR Applications July 25 th, 2017 Wookho Son SW Content Research Laboratory Electronics&Telecommunications Research Institute Compliance with IEEE Standards Policies and Procedures Subclause

More information

Image processing with the HERON-FPGA Family

Image processing with the HERON-FPGA Family HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)1278 760188, Fax: (+44) (0)1278 760199, Email: sales@hunteng.co.uk http://www.hunteng.co.uk http://www.hunt-dsp.com

More information

RPG XFFTS. extended bandwidth Fast Fourier Transform Spectrometer. Technical Specification

RPG XFFTS. extended bandwidth Fast Fourier Transform Spectrometer. Technical Specification RPG XFFTS extended bandwidth Fast Fourier Transform Spectrometer Technical Specification 19 XFFTS crate equiped with eight XFFTS boards and one XFFTS controller Fast Fourier Transform Spectrometer The

More information

Energy-Efficient Histogram Equalization on FPGA

Energy-Efficient Histogram Equalization on FPGA Energy-Efficient Histogram Equalization on FPGA Andrea Sanny Ming Hsieh Dept. of Electrical Engineering University of Southern California Email: sanny@usc.edu Yi-Hua E. Yang Xilinx Inc. Santa Clara, CA

More information

Neural Networks The New Moore s Law

Neural Networks The New Moore s Law Neural Networks The New Moore s Law Chris Rowen, PhD, FIEEE CEO Cognite Ventures December 216 Outline Moore s Law Revisited: Efficiency Drives Productivity Embedded Neural Network Product Segments Efficiency

More information

CMOS MT9D112 Camera Module 1/4-Inch 3-Megapixel Module Datasheet

CMOS MT9D112 Camera Module 1/4-Inch 3-Megapixel Module Datasheet CMOS MT9D112 Camera Module 1/4-Inch 3-Megapixel Module Datasheet Rev 1.0, Mar 2013 3M Pixels CMOS MT9D112 CAMERA MODULE Table of Contents 1 Introduction... 2 2 Features... 3 3 Key Specifications... 3 4

More information

Binary Neural Network and Its Implementation with 16 Mb RRAM Macro Chip

Binary Neural Network and Its Implementation with 16 Mb RRAM Macro Chip Binary Neural Network and Its Implementation with 16 Mb RRAM Macro Chip Assistant Professor of Electrical Engineering and Computer Engineering shimengy@asu.edu http://faculty.engineering.asu.edu/shimengyu/

More information

Video Enhancement Algorithms on System on Chip

Video Enhancement Algorithms on System on Chip International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Video Enhancement Algorithms on System on Chip Dr.Ch. Ravikumar, Dr. S.K. Srivatsa Abstract- This paper presents

More information

Design and Implementation of a Digital Image Processor for Image Enhancement Techniques using Verilog Hardware Description Language

Design and Implementation of a Digital Image Processor for Image Enhancement Techniques using Verilog Hardware Description Language Design and Implementation of a Digital Image Processor for Image Enhancement Techniques using Verilog Hardware Description Language DhirajR. Gawhane, Karri Babu Ravi Teja, AbhilashS. Warrier, AkshayS.

More information

Cognitive Radio Platform Technology

Cognitive Radio Platform Technology Cognitive Radio Platform Technology Ivan Seskar Rutgers, The State University of New Jersey www.winlab.rutgers.edu seskar (at) winlab (dot) rutgers (dot) edu Complexity/Performance Tradeoffs Efficient

More information

The Jigsaw Continuous Sensing Engine for Mobile Phone Applications!

The Jigsaw Continuous Sensing Engine for Mobile Phone Applications! The Jigsaw Continuous Sensing Engine for Mobile Phone Applications! Hong Lu, Jun Yang, Zhigang Liu, Nicholas D. Lane, Tanzeem Choudhury, Andrew T. Campbell" CS Department Dartmouth College Nokia Research

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 What s Behind 5G Wireless Communications? 서기환과장 2015 The MathWorks, Inc. 2 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile

More information

Reconfigurable Video Image Processing

Reconfigurable Video Image Processing Chapter 3 Reconfigurable Video Image Processing 3.1 Introduction This chapter covers the requirements of digital video image processing and looks at reconfigurable hardware solutions for video processing.

More information

Multi-core Platforms for

Multi-core Platforms for 20 JUNE 2011 Multi-core Platforms for Immersive-Audio Applications Course: Advanced Computer Architectures Teacher: Prof. Cristina Silvano Student: Silvio La Blasca 771338 Introduction on Immersive-Audio

More information

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 98 Chapter-5 ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 99 CHAPTER-5 Chapter 5: ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION S.No Name of the Sub-Title Page

More information

Streaming Readout for EIC Experiments

Streaming Readout for EIC Experiments Streaming Readout for EIC Experiments Douglas Hasell Detectors, Computing, and New Technologies Parallel Session EIC User Group Meeting Catholic University of America August 1, 2018 Introduction Goal of

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

CWIC Starter: Immersive Richard Mills - Technical Director, Sky VR Studios Founder, Imaginary Pictures

CWIC Starter: Immersive Richard Mills - Technical Director, Sky VR Studios Founder, Imaginary Pictures CWIC Starter: Immersive Richard Mills - Technical Director, Sky VR Studios Founder, Imaginary Pictures www.imaginarypictures.co.uk www.sky.com 360 and VR Content Intoduction 1 - Planning and Shooting for

More information

Tackling the Battery Problem for Continuous Mobile Vision

Tackling the Battery Problem for Continuous Mobile Vision Tackling the Battery Problem for Continuous Mobile Vision Victor Bahl Robert LeKamWa (MSR/Rice), Bodhi Priyantha, Mathai Philipose, Lin Zhong (MSR/Rice) June 11, 2013 MIT Technology Review Mobile Summit

More information

Design of High-Performance HOG Feature Calculation Circuit for Real-Time Pedestrian Detection *

Design of High-Performance HOG Feature Calculation Circuit for Real-Time Pedestrian Detection * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 31, 2055-2073 (2015) Design of High-Performance HOG Feature Calculation Circuit for Real-Time Pedestrian Detection * SOOJIN KIM AND KYEONGSOON CHO + Department

More information

Open Source Digital Camera on Field Programmable Gate Arrays

Open Source Digital Camera on Field Programmable Gate Arrays Open Source Digital Camera on Field Programmable Gate Arrays Cristinel Ababei, Shaun Duerr, Joe Ebel, Russell Marineau, Milad Ghorbani Moghaddam, and Tanzania Sewell Dept. of Electrical and Computer Engineering,

More information

GPU-accelerated SDR Implementation of Multi-User Detector for Satellite Return Links

GPU-accelerated SDR Implementation of Multi-User Detector for Satellite Return Links DLR.de Chart 1 GPU-accelerated SDR Implementation of Multi-User Detector for Satellite Return Links Chen Tang chen.tang@dlr.de Institute of Communication and Navigation German Aerospace Center DLR.de Chart

More information

THE VISIONLAB TEAM engineers - 1 physicist. Feasibility study and prototyping Hardware benchmarking Open and closed source libraries

THE VISIONLAB TEAM engineers - 1 physicist. Feasibility study and prototyping Hardware benchmarking Open and closed source libraries VISIONLAB OPENING THE VISIONLAB TEAM 2018 6 engineers - 1 physicist Feasibility study and prototyping Hardware benchmarking Open and closed source libraries Deep learning frameworks GPU frameworks FPGA

More information

tackling the battery problem a scenario based approach

tackling the battery problem a scenario based approach tackling the battery problem a scenario based approach Victor Bahl Oct. 5, 2014 HotPower 2014 my amazing collaborators chen, yu-han (MIT) chandra, ranveer han, seungyeop (UW) likamwa, robert (Rice) priyantha,

More information

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM Fengbin Tu, Weiwei Wu, Shouyi Yin, Leibo Liu, Shaojun Wei Institute of Microelectronics Tsinghua University The 45th International

More information

NanEye GS NanEye GS Stereo. Camera System

NanEye GS NanEye GS Stereo. Camera System NanEye GS NanEye GS Stereo Revision History: Version Date Modifications Author 1.0.1 29/05/13 Document creation Duarte Goncalves 1.0.2 05/12/14 Updated Document Fátima Gouveia 1.0.3 12/12/14 Added NanEye

More information

A High Definition Motion JPEG Encoder Based on Epuma Platform

A High Definition Motion JPEG Encoder Based on Epuma Platform Available online at www.sciencedirect.com Procedia Engineering 29 (2012) 2371 2375 2012 International Workshop on Information and Electronics Engineering (IWIEE) A High Definition Motion JPEG Encoder Based

More information

Communication Requirements of VR & Telemedicine

Communication Requirements of VR & Telemedicine Communication Requirements of VR & Telemedicine Henry Fuchs UNC Chapel Hill 3 Nov 2016 NSF Workshop on Ultra-Low Latencies in Wireless Networks Support: NSF grants IIS-CHS-1423059 & HCC-CGV-1319567, CISCO,

More information

A Multi-Layer Perceptron SoC for Smart Devices

A Multi-Layer Perceptron SoC for Smart Devices Computer Science and Engineering 22, 2(7): 33-37 DOI:.5923/j.computer.2227.2 A Multi-Layer Perceptron SoC for Smart Devices Keuntak Yang, Bongkyu Lee 2,* Dept. of computer science and Statistics, Jeju

More information

Hardware-based Image Retrieval and Classifier System

Hardware-based Image Retrieval and Classifier System Hardware-based Image Retrieval and Classifier System Jason Isaacs, Joe Petrone, Geoffrey Wall, Faizal Iqbal, Xiuwen Liu, and Simon Foo Department of Electrical and Computer Engineering Florida A&M - Florida

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

How different FPGA firmware options enable digitizer platforms to address and facilitate multiple applications

How different FPGA firmware options enable digitizer platforms to address and facilitate multiple applications How different FPGA firmware options enable digitizer platforms to address and facilitate multiple applications 1 st of April 2019 Marc.Stackler@Teledyne.com March 19 1 Digitizer definition and application

More information

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION Sinan Yalcin and Ilker Hamzaoglu Faculty of Engineering and Natural Sciences, Sabanci University, 34956, Tuzla,

More information

One Size Doesn't Fit All Aligning VR Environments to Workflows

One Size Doesn't Fit All Aligning VR Environments to Workflows One Size Doesn't Fit All Aligning VR Environments to Workflows PRESENTATION TITLE DATE GOES HERE By Show of Hands Who frequently uses a VR system? By Show of Hands Immersive System? Head Mounted Display?

More information

ADAS COMPUTER VISION AND AUGMENTED REALITY SOLUTION

ADAS COMPUTER VISION AND AUGMENTED REALITY SOLUTION ENGINEERING ENERGY TELECOM TRAVEL AND AVIATION SOFTWARE FINANCIAL SERVICES ADAS COMPUTER VISION AND AUGMENTED REALITY SOLUTION Sergii Bykov, Technical Lead TECHNOLOGY AUTOMOTIVE Product Vision Road To

More information

What s Behind 5G Wireless Communications?

What s Behind 5G Wireless Communications? What s Behind 5G Wireless Communications? Marc Barberis 2015 The MathWorks, Inc. 1 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile Broadband IoT

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

Power of Realtime 3D-Rendering. Raja Koduri

Power of Realtime 3D-Rendering. Raja Koduri Power of Realtime 3D-Rendering Raja Koduri 1 We ate our GPU cake - vuoi la botte piena e la moglie ubriaca And had more too! 16+ years of (sugar) high! In every GPU generation More performance and performance-per-watt

More information

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article. L. Pyrgas, A. Kalantzopoulos* and E. Zigouris.

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article. L. Pyrgas, A. Kalantzopoulos* and E. Zigouris. Jestr Journal of Engineering Science and Technology Review 9 (5) (2016) 51-55 Research Article Design and Implementation of an Open Image Processing System based on NIOS II and Altera DE2-70 Board L. Pyrgas,

More information

FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom

FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom Schematic of a typical SDR Very rough schematic: Analog Stuff ADC/DAC FPGA GPP Let s ignore

More information

Construction of visualization system for scientific experiments

Construction of visualization system for scientific experiments Construction of visualization system for scientific experiments A. V. Bogdanov a, A. I. Ivashchenko b, E. A. Milova c, K. V. Smirnov d Saint Petersburg State University, 7/9 University Emb., Saint Petersburg,

More information

Table 1: Example Implementation Statistics for Xilinx FPGAs. Fmax (MHz) LUT FF IOB RAMB36 RAMB18 DSP48

Table 1: Example Implementation Statistics for Xilinx FPGAs. Fmax (MHz) LUT FF IOB RAMB36 RAMB18 DSP48 logislvds_rx Camera Sub-LVDS Receiver August 23 rd, 2017 Data Sheet Version: v1.1 Xylon d.o.o. Core Facts Fallerovo setaliste 22 10000 Zagreb, Croatia Phone: +385 1 368 00 26 Fax: +385 1 365 51 67 E-mail:

More information

ASIP Solution for Implementation of H.264 Multi Resolution Motion Estimation

ASIP Solution for Implementation of H.264 Multi Resolution Motion Estimation Int. J. Communications, Network and System Sciences, 2010, 3, 453-461 doi:10.4236/ijcns.2010.35060 Published Online May 2010 (http://www.scirp.org/journal/ijcns/) ASIP Solution for Implementation of H.264

More information

Embedded Systems. 9. Power and Energy. Lothar Thiele. Computer Engineering and Networks Laboratory

Embedded Systems. 9. Power and Energy. Lothar Thiele. Computer Engineering and Networks Laboratory Embedded Systems 9. Power and Energy Lothar Thiele Computer Engineering and Networks Laboratory General Remarks 9 2 Power and Energy Consumption Statements that are true since a decade or longer: Power

More information

DASH: Deadline-Aware High-Performance Memory Scheduler for Heterogeneous Systems with Hardware Accelerators

DASH: Deadline-Aware High-Performance Memory Scheduler for Heterogeneous Systems with Hardware Accelerators DASH: Deadline-Aware High-Performance Memory Scheduler for Heterogeneous Systems with Hardware Accelerators Hiroyuki Usui, Lavanya Subramanian Kevin Chang, Onur Mutlu DASH source code is available at GitHub

More information

Real Time Hot Spot Detection Using FPGA

Real Time Hot Spot Detection Using FPGA Real Time Hot Spot Detection Using FPGA Sol Pedre, Andres Stoliar, and Patricia Borensztejn Departamento de Computación, Facultad de Ciencias Exactas y Naturales, Universidad de Buenos Aires {spedre,astoliar,patricia}@dc.uba.ar

More information

INTRODUCTION TO CHANNELIZATION ALGORITHMS IN SDR AND COMPARISON OF THEM

INTRODUCTION TO CHANNELIZATION ALGORITHMS IN SDR AND COMPARISON OF THEM Isfahan university of technology INTRODUCTION TO CHANNELIZATION ALGORITHMS IN SDR AND COMPARISON OF THEM Presentation by :Mehdi naderi soorki Instructor: Professor M. J. Omidi 1386-1387 Spring the ideal

More information

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog K.Durgarao, B.suresh, G.Sivakumar, M.Divaya manasa Abstract Digital technology has advanced such that there is an increased need for power efficient

More information

Figures from Embedded System Design: A Unified Hardware/Software Introduction, Frank Vahid and Tony Givargis, New York, John Wiley, 2002

Figures from Embedded System Design: A Unified Hardware/Software Introduction, Frank Vahid and Tony Givargis, New York, John Wiley, 2002 Figures from Embedded System Design: A Unified Hardware/Software Introduction, Frank Vahid and Tony Givargis, New York, John Wiley, 2002 Data processing flow to implement basic JPEG coding in a simple

More information

ReVRSR: Remote Virtual Reality for Service Robots

ReVRSR: Remote Virtual Reality for Service Robots ReVRSR: Remote Virtual Reality for Service Robots Amel Hassan, Ahmed Ehab Gado, Faizan Muhammad March 17, 2018 Abstract This project aims to bring a service robot s perspective to a human user. We believe

More information

Face Detection System on Ada boost Algorithm Using Haar Classifiers

Face Detection System on Ada boost Algorithm Using Haar Classifiers Vol.2, Issue.6, Nov-Dec. 2012 pp-3996-4000 ISSN: 2249-6645 Face Detection System on Ada boost Algorithm Using Haar Classifiers M. Gopi Krishna, A. Srinivasulu, Prof (Dr.) T.K.Basak 1, 2 Department of Electronics

More information

Real-Time License Plate Localisation on FPGA

Real-Time License Plate Localisation on FPGA Real-Time License Plate Localisation on FPGA X. Zhai, F. Bensaali and S. Ramalingam School of Engineering & Technology University of Hertfordshire Hatfield, UK {x.zhai, f.bensaali, s.ramalingam}@herts.ac.uk

More information

GPU-accelerated track reconstruction in the ALICE High Level Trigger

GPU-accelerated track reconstruction in the ALICE High Level Trigger GPU-accelerated track reconstruction in the ALICE High Level Trigger David Rohr for the ALICE Collaboration Frankfurt Institute for Advanced Studies CHEP 2016, San Francisco ALICE at the LHC The Large

More information

Virtual Reality I. Visual Imaging in the Electronic Age. Donald P. Greenberg November 9, 2017 Lecture #21

Virtual Reality I. Visual Imaging in the Electronic Age. Donald P. Greenberg November 9, 2017 Lecture #21 Virtual Reality I Visual Imaging in the Electronic Age Donald P. Greenberg November 9, 2017 Lecture #21 1968: Ivan Sutherland 1990s: HMDs, Henry Fuchs 2013: Google Glass History of Virtual Reality 2016:

More information

Virtual Reality Mobile 360 Nanodegree Syllabus (nd106)

Virtual Reality Mobile 360 Nanodegree Syllabus (nd106) Virtual Reality Mobile 360 Nanodegree Syllabus (nd106) Join the Creative Revolution Before You Start Thank you for your interest in the Virtual Reality Nanodegree program! In order to succeed in this program,

More information

Darwin: a neuromorphic hardware co-processor based on Spiking Neural Networks

Darwin: a neuromorphic hardware co-processor based on Spiking Neural Networks MOO PAPER SCIENCE CHINA Information Sciences February 2016, Vol 59 023401:1 023401:5 doi: 101007/s11432-015-5511-7 Darwin: a neuromorphic hardware co-processor based on Spiking Neural Networks Juncheng

More information

Energy efficient multi-granular arithmetic in a coarse-grain reconfigurable architecture

Energy efficient multi-granular arithmetic in a coarse-grain reconfigurable architecture Eindhoven University of Technology MASTER Energy efficient multi-granular arithmetic in a coarse-grain reconfigurable architecture Louwers, S.T. Award date: 216 Link to publication Disclaimer This document

More information

An FPGA Based Architecture for Moving Target Indication (MTI) Processing Using IIR Filters

An FPGA Based Architecture for Moving Target Indication (MTI) Processing Using IIR Filters An FPGA Based Architecture for Moving Target Indication (MTI) Processing Using IIR Filters Ali Arshad, Fakhar Ahsan, Zulfiqar Ali, Umair Razzaq, and Sohaib Sajid Abstract Design and implementation of an

More information

The CCD-S3600-D(-UV) is a

The CCD-S3600-D(-UV) is a Advanced Digital High-Speed CCD Line Camera CCD-S3600-D(-UV) High-Sensitivity Linear CCD Array with 3648 Pixels, 16-bit ADC, 32 MB DDR2 RAM, USB 2.0, Trigger Input & Output USB 2.0 Plug & Play The CCD-S3600-D(-UV)

More information

Chapter 12. Cross-Layer Optimization for Multi- Hop Cognitive Radio Networks

Chapter 12. Cross-Layer Optimization for Multi- Hop Cognitive Radio Networks Chapter 12 Cross-Layer Optimization for Multi- Hop Cognitive Radio Networks 1 Outline CR network (CRN) properties Mathematical models at multiple layers Case study 2 Traditional Radio vs CR Traditional

More information

Project Abstract Submission : Entry # 456. Part 1 - Team. Part 2 - Project. Team Leader Name. Maroua Filali. Team Leader .

Project Abstract Submission : Entry # 456. Part 1 - Team. Part 2 - Project. Team Leader Name. Maroua Filali. Team Leader  . Part 1 - Team Team Leader Name Maroua Filali Team Leader Email mf1304494@qu.edu.qa 2nd Team Member Name Ealaf Hussein 2nd Team Member Email eh1300622@qu.edu.qa 3rd Team Member Name Salma Shalaby 3rd Team

More information

Doc: page 1 of 6

Doc: page 1 of 6 VmodCAM Reference Manual Revision: July 19, 2011 Note: This document applies to REV C of the board. 1300 NE Henley Court, Suite 3 Pullman, WA 99163 (509) 334 6306 Voice (509) 334 6300 Fax Overview The

More information

HARDWARE ACCELERATION OF THE GIPPS MODEL

HARDWARE ACCELERATION OF THE GIPPS MODEL HARDWARE ACCELERATION OF THE GIPPS MODEL FOR REAL-TIME TRAFFIC SIMULATION Salim Farah 1 and Magdy Bayoumi 2 The Center for Advanced Computer Studies, University of Louisiana at Lafayette, USA 1 snf3346@cacs.louisiana.edu

More information

The rise of always-listening sensors integrated in energy-scarce devices such as watches and remotecontrols

The rise of always-listening sensors integrated in energy-scarce devices such as watches and remotecontrols Context-Aware Hierarchical Information-Sensing in a 6 µw 9nm CMOS Voice Activity Detector Komail Badami, Steven Lauwereins, Wannes Meert, Marian Verhelst KU Leuven, Leuven, Belgium The rise of always-listening

More information

A Low-Power Broad-Bandwidth Noise Cancellation VLSI Circuit Design for In-Ear Headphones

A Low-Power Broad-Bandwidth Noise Cancellation VLSI Circuit Design for In-Ear Headphones A Low-Power Broad-Bandwidth Noise Cancellation VLSI Circuit Design for In-Ear Headphones Abstract: Conventional active noise cancelling (ANC) headphones often perform well in reducing the lowfrequency

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

An Onboard Vision System for Unmanned Aerial Vehicle Guidance

An Onboard Vision System for Unmanned Aerial Vehicle Guidance Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2010-11-17 An Onboard Vision System for Unmanned Aerial Vehicle Guidance Barrett Bruce Edwards Brigham Young University - Provo

More information

Introduction to Virtual Reality (based on a talk by Bill Mark)

Introduction to Virtual Reality (based on a talk by Bill Mark) Introduction to Virtual Reality (based on a talk by Bill Mark) I will talk about... Why do we want Virtual Reality? What is needed for a VR system? Examples of VR systems Research problems in VR Most Computers

More information