Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1

Size: px
Start display at page:

Download "Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1"

Transcription

1 Dale E. Ewbank ul ppt 2014 Dale E. Ewbank page 1

2 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2

3 MICROLITHOGRAPHY The production of ultra-small three dimensional relief images based on exposure and subsequent development of a photon sensitive polymer called photoresist. DRAM EXPOSURE LITHO YEAR FEATURE WAVELENGTH TOOL nm 436 nm $0.8 MILLION $ $ $ $ $ $ or 193i $ i double patterning $ ? 16 EUV $150 Micro/Nanolithography page 3

4 Optics Comparison ArF Optics Column 1)Source beam refined through lenses 2)Transmitted through pupil blade 3)Finally through reticle and down to wafer plane EUV Optics System 1)Source Beam passes through spectral purity filter 2)Transmitted through mirrors to Reticle 3)Finally Reflected onto wafer plane [1 ]

5 Corning Museum Of Glass Tropel g-line lens built for GCA steppers page 5

6 MASKS Reduction reticle with pellicle: ASML, Canon, Nikon, others 1X projection with pellicle: Perkin Elmer Micralign page 6

7 TRANSMISSION PROPERTIES OF OPTICAL GLASS page 7

8 Ultra Violet Radiation 193 nm ArF laser 248 nm KrF laser 365 nm i-line 436 nm g-line page 8

9 Optical Lithography Illumination System Source Illumination System Source Condenser Lens Condenser Lens Mask Gap (z) Mask Substrate Objective Lens Proximity/Contact Projection NA = n sinq q Substrate page 9

10 DIFFRACTION Bruce W. Smith page 10

11 FRESNEL DIFFRACTION Proximity/Contact System Resolution Wmin ~ 0.7 ( Z) 1/2 W = space opening width on the mask = exposing wavelength Z = gap (distance) from the mask to the wafer Note that Z cannot go be zero because of resist thickness page 11

12 DIFFRACTION and Imaging Bruce W. Smith page 12

13 RAYLEIGH CRITERIA Projection System Resolution P/2 = k 1 / NA NA = n sinq DOF = +/- k 2 / (n sin 2 q) k 2 = 0.5 g-line i-line KrF KrF ArF ArF ArF k [nm] i 193i NA P/2 [nm] DOF[+/- nm] Micro/Nanolithography page 13

14 Increasing Resolution Using Immersion Imaging E. Abbe 1878 Bruce W. Smith page 14

15 Increasing Resolution Using Immersion Imaging E. Abbe 1878 Bruce W. Smith page 15

16 Increasing Resolution Using Immersion Imaging E. Abbe 1878 Bruce W. Smith page 16

17 Homogeneous Immersion Increasing refractive indices the defocus effect Low index (air) imaging High index imaging Defocus OPD sin 2 q a b The defocus wave aberration is proportional to sin 2 q Higher indices reduce defocus OPD at equivalent NA values Small NA/n is desirable sinq Bruce W. Smith page 17

18 NEGATIVE AND POSITIVE PHOTORESISTS Light Photomask Photoresist Film to be Patterned Substrate Negative Resist Positive Resist Rendered Insoluble Rendered Soluble After Development After Etch and Strip page 18

19 ONE AND TWO COMPONENT RESIST HIERARCHY Positive Resist Chemically Amplified Resist Components Components ONE TWO TWO Acrylates (PMMA) Diazo naphtho quinone Novolac (Shipley 1400) Acid Generator -- Onium salt Polymer backbone -- Polystyrene page 19

20 NOVOLAC RESIN n Binder--Novolac Sensitizer--Diazonaphthoquinone Solubility--organic solvents Image formation--solubility change Chemical resistance--excellent Adhesion--good Developer--alkaline Resolution--to less then 0.25 microns page 20

21 PHOTOACTIVE COMPOUND (PAC) O N 2 C O R Naphthoquinone diazide (PAC) hu R Keto-carbene and Nitrogen O.. + N 2 R R Stable ketene molecule add H 2 O H O C OH Base soluble carboxylic acid page 21

22 SENSITIVITY Wavelength (nm) page 22

23 POSITIVE NOVOLAC PHOTORESIST Novolac Base Matrix Resin % Sensitizer or Photoactive Compound (PAC) % Casting Solvent % Additives - 10% PAC - such as naphthoquinone diazide Solvents - such as EL (Ethyl Lactate) or PGMEA (Propylene Glycol Monomethyl Ether Acetate) Additives - such as adhesion promoters, surfactants, dyes, antioxidants, polymerization inhibitors Unexposed resists dissolves in an alkali developer at a rate of 0.2 nm/sec Partially exposed resists dissolves in an alkali developer at a rate of 200 nm/sec page 23

24 Deep ULTRA VIOLET RADIATION page 24

25 CHEMICALLY AMPLIFIED RESIST Poly(t-butoxycarbonyloxystyrene) with onium salt cationic photoinitiator for acid generation page 25

26 THICKNESS LOG DOSE g Dose to clear The higher the slope, gamma, then the smaller the difference needs to be between exposure in areas to be cleared and areas to leave resist. That is the required aerial image modulation is smaller. page 26

27 MODULATION Mask m(x) Modulation = Imax - Imin Imax + Imin Aerial image I(x) 1 Imax Imin 0 Ideal Actual Wafer page 27

28 PROCESSING Substrate Cleaning Coat track Priming if needed BARC coat and bake Spin Coating Photoresist Soft-Bake (PAB) Top Coat and bake if needed Stepper Exposure Develop track Post Exposure Bake (PEB) Develop Rinse Hard-Bake (PDB) Etching Stripping page 28

29 SUBSTRATE CLEAN AND PRIME Cleaning is done with a high pressure (2000 psi) water scrub A dehydration bake is typically done on a hot plate at 250 C for 1 min. (Wafers are clean and dry just after removing from oxide growth furnace) HMDS (hexa-methyl-di-silizane), - Adhesion promoter or primer: Are commonly applied as a liquid or vapor. HMDS attaches to remaining OH molecules releasing ammonia gas and creating an organic-like surface improving adhesion Too much HMDS is detrimental to sensitivity and adhesion. page 29

30 HMDS PRIME page 30

31 SPIN COATING Most spin coating is performed at spin speeds from 3000 to 6000 RPM for 20 to 60 seconds, producing coating uniformities to +/- 100 Å page 31

32 SOFT-BAKE The main purpose is to reduce the solvents from a level of 20-30% down to 4-7%. Baking in a convection oven about 20 minutes is equivalent to hot plate baking for about 1 minute. Forced Air Oven Exhaust Photoresist wafer Hot Plate Fan 90 TO 100 C page 32

33 EXPOSURE E = I t where E is exposure dose in mj/cm 2 I is irradiance in mw/cm 2 t is exposure time in seconds Humidity should be 40-44% because exposed PAC requires water to convert to carboxylic acid page 33

34 POST EXPOSURE BAKE Post exposure bake increases speed of resist Standing wave effects Post exposure bake is require for chemically amplified and image reversal resists (100 to 115 C for 1 min.) Micro/Nanolithography page 34

35 Relative Exposure Positive Resist Processing d= m / (2 n) 1.2 Relative exposure versus resist thickness Thickness, d Wavelength, Refractive index, n Number of waves, m Resist thickness [nm] page 35

36 DEVELOP AND RINSE Develop is done in an alkali solution such as NaOH or KOH (Metal Containing Developers) Trace quantities of these metals can cause transistor threshold voltage shifts. Metal Ion Free Developers are available (TMAH) Developer Concentration and Temperature of Developer are the most important parameters to control. page 36

37 ETCHING Isotropic Etching - etches at equal rate in all directions Oxide wet etched Photoresist Wet Chemical Etching - is isotropic Anisotropic Etching - etches faster vertically than horizontally Plasma Etching (Dry Etch or Reactive Ion Etching, RIE) is either isotropic or anisotropic depending on ion energy and chemistry of etch. page 37

38 STRIPPING Oxygen Plasma Ashing Plasma Damage is Possible to sensitive gate oxide layers Hot Sulfuric Acid and Hydrogen Peroxide If underlying layers are not etched by these chemicals Organic Solvents are available page 38

39 ASML 5500/200 NA = 0.48 to 0.60 variable = 0.35 to 0.85 variable With Variable Kohler, or Variable Annular illumination Resolution = K1 /NA = ~ 350 nm for NA=0.6, =0.85 Depth of Focus = k 2 /(NA) 2 = > 1.0 µm for NA = 0.6 i-line Stepper = 365 nm 22 x 27 mm Field Size page 39

40 STEPPER UV-Light Source Illumination Diffuser Filter Condenser Lens, NA C Reticle Alignment Motors Fiducial Marks Reticle Thru Lens Alignment Detector Aperture Blades Alignment Microscope and TV Zeeman Two Frequency Laser Interferometer 5X Reduction Lens, NA O Auto Focus Stage Motors Reference Mirror X Y Baseline Auto Leveling X-Y Stage page 40

41 STEP AND SCAN page 41

42 ADVANCED PROCESSES Tri-layer Process Bi-layer Process Lift-off Process Reversal Process Dyed Resists Anti-reflective Coatings Contrast Enhancement Materials Chemically Amplified Resists Silylation Process page 42

43 TRI-LAYER Film to be Etched Substrate with Topology Coat with Planarizing Layer Coat with Barrier Layer Coat with Photoresist Image Photoresist Etch Barrier Layer Reactive Ion Etch Planarizing Layer Etch Film page 43

44 SILYLATION Film to be Etched Polymerized Areas Coat with Planarizing Layer of Photoresist SiO2, 10% in Photoresist Expose Desired Pattern causing exposed areas to become polymerized Soak in HMDS Vapor Note: HMDS is incorporated into the non polymerized areas only Reactive Ion Etch in Oxygen coverts silicon into SiO2, Only polymerized areas are etched. Silicon containing areas form and in-situ mask After Reactive Ion Etch Etch Film page 44

45 LIFT-OFF 1. Create a reverse slope or undercut resist edge profile 2. Deposit film by evaporation 3. Chemically strip photoresist and lift off film, leaving film in desired pattern Substrate Photoresist Film page 45

46 REVERSAL PROCESS No Extra Processing Normal Process After Development Photoresist Substrate Coat Expose Reversal Process Post Exposure Reversal Bake Flood Expose After Development page 46

47 REFERENCES Science and Technology, Second Edition, edited by Kazuaki Suzuki and Bruce W. Smith, CRC Press, Introduction to Micro/Nanolithography, Second Edition, Edited by Larry F. Thompson, C.Grant Willson and Murrae J. Bowden, ACS Professional Reference Book, American Chemical Society, Washington, DC Micro/Nanolithography, David Elliott, McGraw Hill Book Company, IC/hbo_ic.pdf, accessed 03/13/ accessed 06/10/ accessed 03/14/2011. Micro/Nanolithography page 47

Micro/Nanolithography

Micro/Nanolithography Dale E. Ewbank dale.ewbank@rit.edu unl081413_microe.ppt 2013 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Chapter 6. Photolithography

Chapter 6. Photolithography Chapter 6 Photolithography 2006/4/10 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions.

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions. Lithography 1 Lithography Is the Designer s Brush Lithography is indispensible for defining locations and configurations of circuit elements/functions. 2 ITRS 2007 The major challenge in litho: CD, CD

More information

Chapter 6 Photolithography

Chapter 6 Photolithography Chapter 6 Photolithography Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List the four components of

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey Clean Room Technology Optical Lithography Lithography I If the automobile had followed the same development cycle as the computer, a Rolls Royce would today cost $100, get a million miles per gallon, and

More information

+ Preferred material for tool O Acceptable material for tool X Unacceptable material for tool

+ Preferred material for tool O Acceptable material for tool X Unacceptable material for tool Contact Aligners (HTG, ABM, EV620) GCA 5X g-line Stepper GCA i-line Steppers (GCA 10X, AS200) Shipley 1800 Series (1805, 1813, 1818, 1827) + + X AZ nlof 2000 O X + AZ4903 + + X OiR 620-7i X X + OiR 897-12i

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

Photoresist Absorbance and Bleaching Laboratory

Photoresist Absorbance and Bleaching Laboratory MCEE 505 Lithography Materials and Processes Page 1 of 5 Photoresist Absorbance and Bleaching Laboratory Microelectronic Engineering Rochester Institute of Technology 1. OBJECTIVE The objective of this

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z + - x 1 0 x Photolithographie www.halbleiter.org Contents Contents List of Figures III 1 Photolithographie 1 1.1 Exposure and resist coating..........................

More information

CHAPTER 2 Principle and Design

CHAPTER 2 Principle and Design CHAPTER 2 Principle and Design The binary and gray-scale microlens will be designed and fabricated. Silicon nitride and photoresist will be taken as the material of the microlens in this thesis. The design

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch Microlithography Geometry Trends Master Patterns: Mask technology Pattern Transfer: Mask Aligner technology Wafer Transfer Media: Photo resist technology mask blank: transparent, mechanically rigid masking

More information

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS MeRck technical datasheet AZ Negative Tone Photoresists for Single Layer Lift-Off APPLICATION AZ i-line photoresists are engineered to simplify the historically complex image reversal and multilayer lift-off

More information

MICROLITHOGRAPHY 2004

MICROLITHOGRAPHY 2004 MICROLITHOGRAPHY 2004 From Computer Aided Design (CAD) to Patterned Substrate At the CNF, a number of different options exist for producing a patterned substrate, but deciding which option is best for

More information

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon) MeRck technical datasheet AZ nlof 5510 Negative Tone Photoresist for Single Layer Lift-Off APPLICATION AZ nlof 5510 i-line photoresist is engineered to simplify the historically complex image reversal

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology DEVELOPMENT OF A PHOTOSENSITIVE POLYIMIDE PROCESS William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology 1~BS TRACT A six step lithographic process has been developed

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT INTRODUCTION BI-LAYER DEEP UV RESIST SYSTEM Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A portable conformable mask (PCM) system employing KTIS2O

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

KMPR 1010 Process for Glass Wafers

KMPR 1010 Process for Glass Wafers KMPR 1010 Process for Glass Wafers KMPR 1010 Steps Protocol Step System Condition Note Plasma Cleaning PVA Tepla Ion 10 5 mins Run OmniCoat Receipt Dehydration Any Heat Plate 150 C, 5 mins HMDS Coating

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS Daniel R. Sutton 5th Year Microelectronic Engineering Student Rochester Institute of Technology

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

UFNF YES Image Reversal & HMDS Oven Revision 6.0 1/22/2014 Page 1 of 5. YES Image Reversal and HMDS Oven SOP

UFNF YES Image Reversal & HMDS Oven Revision 6.0 1/22/2014 Page 1 of 5. YES Image Reversal and HMDS Oven SOP 1/22/2014 Page 1 of 5 YES Image Reversal and HMDS Oven SOP Table of Contents 1.0 Safety 2.0 Quality Control and Calibrations 3.0 Processes Description 4.0 Process Information for Lift Off 5.0 Operation

More information

Contrast Enhancement Materials CEM 365iS

Contrast Enhancement Materials CEM 365iS INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. and the Contrast Enhancement Material (CEM) technology business from General Electric including a series of patents and technologies*. A concentrated

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C.

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C. High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C. Gower Exitech Limited Hanborough Park, Long Hanborough, Oxford

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Shadow Printing Photomask

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60 Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60 Bruce Smith Y. Fan, J. Zhou, L. Zavyalova, M. Slocum, J. Park, A. Bourov, E. Piscani, N. Lafferty, A. Estroff Rochester Institute

More information

Chapter 2 Silicon Planar Processing and Photolithography

Chapter 2 Silicon Planar Processing and Photolithography Chapter 2 Silicon Planar Processing and Photolithography The success of the electronics industry has been due in large part to advances in silicon integrated circuit (IC) technology based on planar processing,

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

3.Photolithography and resist systems

3.Photolithography and resist systems 3.Photolithography and resist systems Exposure Mercury arc lamp Shadow printing projection printing Photomask Substrates Resist systems DNQ-Novolak-based Epoxy-based Polyimide based 1 Exposure Mercury

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators Benjamen M. Rathsack 1, Cyrus E. Tabery 1, Cece Philbin 2, and C. Grant Willson 1 September 15, 1999 1 Department

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

AZ 1512 RESIST PHOTOLITHOGRAPHY

AZ 1512 RESIST PHOTOLITHOGRAPHY AZ 1512 RESIST PHOTOLITHOGRAPHY STANDARD OPERATIONAL PROCEDURE Faculty Supervisor: Prof. R. Bruce Darling Students: Katherine Lugo Danling Wang Department of Electrical Engineering Spring, 2009 TABLE OF

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces SUPPLEMENTARY INFORMATION Articles https://doi.org/10.1038/s41928-018-0056-6 In the format provided by the authors and unedited. Low-power carbon nanotube-based integrated circuits that can be transferred

More information

A review on contemporary practices in Lithography

A review on contemporary practices in Lithography IOSR Journal of Applied Chemistry (IOSR-JAC) e-issn: 2278-5736.Volume 7, Issue 4 Ver. II. (Apr. 2014), PP 27-31 A review on contemporary practices in Lithography Perna Kishor Krishna, Mantha Anil Srimanth,

More information

Supporting Information 1. Experimental

Supporting Information 1. Experimental Supporting Information 1. Experimental The position markers were fabricated by electron-beam lithography. To improve the nanoparticle distribution when depositing aqueous Ag nanoparticles onto the window,

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

Surface Topography and Alignment Effects in UV-Modified Polyimide Films with Micron Size Patterns

Surface Topography and Alignment Effects in UV-Modified Polyimide Films with Micron Size Patterns CHINESE JOURNAL OF PHYSICS VOL. 41, NO. 2 APRIL 2003 Surface Topography and Alignment Effects in UV-Modified Polyimide Films with Micron Size Patterns Ru-Pin Pan 1, Hua-Yu Chiu 1,Yea-FengLin 1,andJ.Y.Huang

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT ADVANCED MASK MAKING AT RIT David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT This project involved the definition of the steps necessary to generate a

More information

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Michal URBÁNEK, Milan MATĚJKA, Jana CHLUMSKÁ, Miroslav HORÁČEK, Institute of Scientific Instruments of the

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

Photolithography Module

Photolithography Module Electronics Workforce Development System Photolithography Module Introduction Photolithography Module This module will teach students the different types of microlithographic systems being used today,

More information

MICROBUMP CREATION SYSTEM FOR ADVANCED PACKAGING APPLICATIONS

MICROBUMP CREATION SYSTEM FOR ADVANCED PACKAGING APPLICATIONS MICROBUMP CREATION SYSTEM FOR ADVANCED PACKAGING APPLICATIONS Andrew Ahr, EKC Technology, & Chester E. Balut, DuPont Electronic Technologies Alan Huffman, RTI International Abstract Today, the electronics

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Approaching the NA of Water: Immersion Lithography at 193nm

Approaching the NA of Water: Immersion Lithography at 193nm Approaching the NA of Water: Immersion Lithography at 193nm Bruce Smith Y. Fan, A. Bourov, L. Zavyalova, J. Zhou, F. Cropanese, N. Lafferty Rochester Institute of Technology M. Gower, D. Ashworth Exitech

More information

Lithographic Process Evaluation by CD-SEM

Lithographic Process Evaluation by CD-SEM Lithographic Process Evaluation by CD-SEM Jason L. Burkholder Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract-- In lithography employed in IC fabrication, focus

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS 2 LASER INTERFERENCE LITHOGRAPHY (LIL) 9 2 LASER INTERFERENCE LITHOGRAPHY (LIL) Laser interference lithography [3~22] (LIL) is a method to produce periodic structures using two interfering highly-coherent

More information

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist Journal of Mechanical Science and Technology 22 (2008) 1765~1771 Journal of Mechanical Science and Technology www.springerlink.com/content/1738-494x DOI 10.1007/s12206-008-0601-8 Fabrication of suspended

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

(ksaligner & quintel resolution)

(ksaligner & quintel resolution) Process [4.10] (ksaligner & quintel resolution) 1.0 Process Summary 1.1 Since Karl Suss ksaligner is heavily used and Quintel aligner is not, nanolab decided to compare the 2 micron line resolution from

More information

Fabrication Techniques of Optical ICs

Fabrication Techniques of Optical ICs Fabrication Techniques of Optical ICs Processing Techniques Lift off Process Etching Process Patterning Techniques Photo Lithography Electron Beam Lithography Photo Resist ( Microposit MP1300) Electron

More information

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

This writeup is adapted from Fall 2002, final project report for by Robert Winsor. Optical Waveguides in Andreas G. Andreou This writeup is adapted from Fall 2002, final project report for 520.773 by Robert Winsor. September, 2003 ABSTRACT This lab course is intended to give students

More information