Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski

Size: px
Start display at page:

Download "Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski"

Transcription

1 Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Introduction: The CEBAF upgrade Low Level Radio Frequency (LLRF) control system is being designed to incorporate digital feedback. To implement a digital feedback control system one may use a Digital Signal Processor (DSP) or embed the control functions within a dense programmable logic device (PLD) such as a field programmable gate array (FPGA). We have decided for speed reasons (DSP is too slow for our application) to use an FPGA. Control algorithms implemented within a DSP would have delays of 10 of µseconds while an embedded approach using an FPGA would be approximately one µsecond. FPGA s come in all shapes, sizes and costs depending on the number of logic gates and speed needed to implement a design. To determine the size of the FPGA needed for the system we have designed a generic digital controller with the algorithms and functions needed for LLRF control. With this information we can then determine the number of the logic gates needed and hence the size and cost of the FPGA. The purpose of the digital section of the Low Level Radio Frequency (LLRF) Control board is to regulate the magnitude and phase of the cavity RF to a controlled set point. The input to the digital section is from an analog-to-digital converter (ADC) that is driven by the down converted cavity signal (70MHz) which is clocked at 60 MHz. The digital controller then compares this to set points and processes the error signal such that a correction can be applied to the cavity. The correction signal from the FPGA then drives a Dual I/Q digital-to-analog (DAC) converter running at 60 MHz. The DACs in turn drive the quadrature modulator and is then upconverted to the cavity frequency. The delay through the digital section is being driven by the gains needed to reduce the cavity microphonic fluctuations. Since the present analog LLRF system has a bandwidth of 1 MHz we anticipate the digital controller to need a similar bandwidth and hence the target delay of 1 us. This is effectively driving the high clock frequencies and our concern for the algorithm delays. The following will be described in this technote. System Implementation FPGA Detailed Description o I/Q Demodulation o FIR o Rotation Matrix o PID PID Proportional PID Integral PID Differential 1

2 System Implementation The digital section (controller) computes the functions shown in Figure 1. The I/Q Demodulator separates the signal from the ADC to Q (in phase) and I (90 0 Phase) components. The Low pass finite impulse response (FIR) filters followed remove the high frequency noise of the I/Q signals and any energy from the any close cavity modes. The Rotation Matrix changes the I/Q angles to that specified by the user. The I/Q signals with corrected angle enter proportional, integral, and differential (PID) controller to have their amplitude regulated to the set points. The feed forward circuit adjusts the signals to compensate for repeatable error sources in the system. Finally. The scaling circuit puts the signals into required DAC format. Figure 1. LLRF DIGITAL FUNCTIONAL BLOCK 2

3 These functions will be implemented in a FPGA integrated circuit (IC). The FPGA contains basic electronic logic elements (LE) to realize the digital circuits (functions). The more LE s an FPGA has, the more circuits can be realized. Since the cost is proportional to the number of LE s, a study was made to determine the most cost effective FPGA size. The study involves trading off the number of LE s needed and the propagation delay from the ADC input to the DAC output which is specified at less than one microseconds. The FPGA will be clocked at 60 MHz. The circuits to implement these functions are described in detail in subsequent sections. Their size and delay are summarized in the Table 1. The delay shown in the table is owing to the pipelining that enables the circuits to run at 60 MHz. If the functions were implemented using an application specific integrated circuit (ASIC) instead of FPGA, the delay could be reduced by approximately about 10 clock cycles. However an ASIC is cost prohibitive (see ASIC addendum). The table also shows the time taken by TI 6711 floating point DSP to execute the functions. A digital output bit is toggled before and after a function is executed to indicate the time taken for that function. Function Number of LE Delay (# of clock) Delay (us) Decimation (# of DAC input) DSP Delay (us) I/Q Demodulator x.4 FIR (10 tap) 2 x x 6.8 Rotation Matrix x 1.44 PID 2 x x 1.28 Feed Forward 2 x x.38 DAC Scaling 2 x x.38 TOTAL Table 1: Estimate Size and Delay for Digital Control (FPGA size) 3

4 Figure 2 shows the block diagram for the digital portion of the LLRF control board. The functions are to be implemented using an Altera APEX 20K600 or equivalent. The Intersil shown is a digital down converter (DDC) that has a I/Q Demodulator and FIR filters that can have up to 255 taps. It is included to compare the trade off between using the DDC and coding the I/Q Demodulator and FIR into the FPGA. Two dual port (DP) random access memories (RAM) provide the Sine and Cosine look up tables for the I/Q Demodulator. The others two DP RAM contain the compensation tables for the feed forward circuits. DP RAM is used to allow the TI6711 Digital Signal Processor (DSP) to access and load the tables. The feed forward values, I/Q set point, and the rotation angle value for the rotation matrix are computed by the DSP. The DSP is provided with 256Kx32 FLASH and 4Mx32 SDRAM for program and data storage and 32 digital input and output for testing and possibly drive stepper motors or Piezo tuners for resonance control. TI Code Composer Studio will be used to developed program and algorithms for the DSP. VME interface to support EPICS is implemented with another DP RAM and the FPGA. Figure 2: LLRF Board Block Diagram 4

5 In addition to size, the number of I/O pins was considered in choosing the FPGA. Table 2 shows the I/O required. APEX 20K600 I/O count (488 available I/O pins for 652 pins BGA) Functions # of BiDir # of Input # of Output I, Q from DDC 34 Demod Sine Table Demod Cos Table Table for Q Feedforward Table for I Feedforward I DAC 16 Q DAC 16 DSP VME ADC 15 Test Point 16 Total Grand Total 376 Table 2: FPGA I/O Count 5

6 FPGA Detailed Description I/Q Demodulation: The I/Q Demodulation decomposes the RF input from the ADC into it real (Q) and imaginary (I) component as shown in Figure 3. The I/Q demodulator multiplies the input with sine and cosine sinusoidal references stored in the DP RAM to create I and Q respectively. Figure 3: I/Q Demodulator 6

7 The I/Q demodulator circuit along with its size and delay is shown in Figure 4. The registers (reg.) shown in the figure are necessary to get the circuit to run at 60 MHz in the FPGA. If the circuit is implemented in an ASIC, the input registers can be eliminated and the multiply can be done in one stage instead of two. Figure 4: I/Q Demodulator Circuit 7

8 FIR: The FIR filter adds the weighted sum (depends on number of taps) of the most current ADC input. A FIR that has 10 taps multiplies the 10 most recent inputs each with a different coefficient and then adds the results. The value of the coefficients, the number of taps, and the sampling rate (rate at which the data comes in) determines the characteristic of the filter. The obvious implementation would be to have 10 multipliers and an adder as shown in Figure 5. The advantage with this approach is that it can keep up with the ADC data rate. The disadvantage is the size. The size is dependent on the number of taps and it is too large to practically implement with an FPGA. A brute force 10 taps FIR is a candidate for ASIC implementation. Figure 5: FIR 10 Tap Brute Force Implementation 8

9 A practical approach to implement a FIR filter is shown in Figure 6. This multiply and accumulate (MAC) performs the multiplications serially instead of in parallel. The result of a multiplication is added to a running sum that has a value of 0 before the first multiply. Since the circuit can only do one multiply and add for each clock, the number of taps determines the number of clock cycles needed to complete tallying all inputs. For example, a FIR with 10 taps will take 10 clocks to produce an output. Since the inputs cannot change during the process, the circuit is essentially decimating the input by the number of taps of the FIR filter if the FPGA clock is the same as the ADC clock. In other words, if the FPGA clock is less than the number of taps times the ADC clock, the circuit will not be able to keep up with the ADC data rate and can only accept every x sample for an x number of taps. The advantage of this approach is it size. The size does not vary by much with the number of taps and it can be realize with an FGPA. Figure 6: FIR MAC Implementation 9

10 Rotation Matrix: The rotation matrix as shown in Figure 7 rotates I/Q signals by the angle specified by the user (EPICS). Figure 7: Rotation Matrix 10

11 Figure 8 shows the rotation matrix circuit. Since the user angle specification does not occur on a clock-by-clock basis, the sine and cosine tables will be stored in the DSP memory. The depth and width of the table is determine by the following specification: Range of 0 to 360 degree rotation Resolution of.1 degree Accuracy of.01 degree The formulas used are: Table Depth = Range/Resolution = 360/.1 = 3600 locations = 12 address bits. Table Width =ln -1 2(location/accuracy)= ln -1 2(3600/.01) = 19 data bits. Figure 8: Rotation Matrix Circuit 11

12 PID: The PID controller shown in Figure 9 minimizes the difference (~ 1/G) between the signal from rotation matrix (feed back from cavity) and a set point specified by the users (EPICS). The difference (error) goes into proportional, integral, and differential circuits. The outputs of these circuits are summed to produce the overall PID output. Figure 9: PID Controller Circuit 12

13 PID Proportional: The proportional is used to provide sufficient RF drive so that the signal feedback is the same as the set point. The proportional circuit shown in Figure 10 multiplies the error by a constant (Pgain) settable by the DSP. The width of this constant is determine by the specification that the proportional gain s range is from 0 to 100 db. The formulas used are: Voltage Gain = log -1 (100 db / 20) = Width = ln -1 2(Voltage Gain) = 17 bits The resolution is Resolution = 1/ 20(log 2 17 ) =.0098 db Figure 10: PID Proportional Circuit 13

14 PID Integral: The Integral is used to add long-term precision to a control loop and to reduce offsets. As shown in Figure 11, the integral keeps a running sum (Pid Istate) and multiplies the sum by a constant (IGain). The sum is limited to a Maximum and Minimum value to prevent saturation and cause instability. The width of Igain is determined by the specification that the integral s range is from 0 to 100 ms and a 1us resolution. The formulas used are: IGain = 100 ms / 1us = 100,000 Width = ln -1 2(100,000) = 17 bits Figure 11: PID Integral Circuit 14

15 PID Differential: The differential is used to predict the future behavior of the cavities and conditions the RF drive so that feed back signal equals the set point sooner. As shown in Figure 12, the circuit subtracts the current error to the previous error and multiplies the difference by a constant (Pid Dgain). The width of Pid Dgain is chosen to be 16 bits since there is yet a specification for the differential gain. Providing differential feedback is the most difficult for a PID controller and is used only when the system is very well known. It may not be needed by our control system. Figure 12: PID Differential Circuit 15

16 ASIC Addendum This addendum compares and contrasts ASIC to FPGA. ASIC and FPGA are both made to realize digital circuits. However, the way that the circuits are realized is different between the two. An FPGA contains fundamental building blocks that will be connected via programmable switches to realize more complex digital circuits. This switch-setting matrix can be loaded into the FPGA either by a computer or from an electrical erasable programmable memory (EEPROM). Changes in a design only require recompiling the design and reload the matrix. The ASIC, on the other hand, contains premade transistors on a wafer (die). The transistors are connected with wires (instead of programmable switches) to realize digital circuits. Building an ASIC involves making masks that contains wire traces for the wafer. A mistake in the design will require remaking the die (a new chip). This fundamental difference manifests other differences. An ASIC will cost more for low volume production. Typically it will cost more than $100,000 for non-recurring engineering (NRE) and hundreds or tens of dollars per chip thereafter for high volume production that is vendors specific. A change in the design will typically cost less than $100,000 for NRE with the same vendor. An FPGA, on the other hand, will cost in the hundreds or several thousands per chip. A change in the design does not cost anything if the new design will still fit in the current FPGA. ASIC s are faster than FPGA s. In the ASIC the circuits are built by connecting transistors with wires. This architecture allows circuits to realize with fewer transistors that translate to smaller capacitive load and propagation delay. Moreover wires inherently have lower propagation delay than programmable switches. The speed improvement of 3 to 1 can be realized with the ASIC for the same die technology. ASIC s are denser than the FPGA s. For a given die size, more circuitries can be realized with an ASIC. There are two reasons for this. First, many of the wires that crisscrossing the FPGA die do not get use for a given design. Second, not all the circuitries contain in the building blocks will be used for a given design. In an ASIC, the wires and transistors are only connected as needed. The FPGA described in this paper, the DPRAM, and possibly the DSP can all be integrated into an ASIC. In summary, ASIC is suited for designs that require high speed processing, have circuit board size constraint, and high volume productions. 16

Digital Self Excited Loop Implementation and Experience. Trent Allison Curt Hovater John Musson Tomasz Plawski

Digital Self Excited Loop Implementation and Experience. Trent Allison Curt Hovater John Musson Tomasz Plawski Digital Self Excited Loop Implementation and Experience Trent Allison Curt Hovater John Musson Tomasz Plawski Overview Why Self Excited Loop? Algorithm Building Blocks Hardware and Sampling Digital Signal

More information

Digital LLRF Test on the Renascence Cryomodule

Digital LLRF Test on the Renascence Cryomodule Digital LLRF Test on the Renascence Cryomodule Trent Allison, Rama Bachimanchi, Curt Hovater, John Musson and Tomasz Plawski Introduction The Renascence cryomodule was the first opportunity for testing

More information

Direct Digital Down/Up Conversion for RF Control of Accelerating Cavities

Direct Digital Down/Up Conversion for RF Control of Accelerating Cavities Direct Digital Down/Up Conversion for RF Control of Accelerating Cavities C. Hovater, T. Allison, R. Bachimanchi, J. Musson and T. Plawski Introduction As digital receiver technology has matured, direct

More information

Appendix B. Design Implementation Description For The Digital Frequency Demodulator

Appendix B. Design Implementation Description For The Digital Frequency Demodulator Appendix B Design Implementation Description For The Digital Frequency Demodulator The DFD design implementation is divided into four sections: 1. Analog front end to signal condition and digitize the

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

Low-Level RF. S. Simrock, DESY. MAC mtg, May 05 Stefan Simrock DESY

Low-Level RF. S. Simrock, DESY. MAC mtg, May 05 Stefan Simrock DESY Low-Level RF S. Simrock, DESY Outline Scope of LLRF System Work Breakdown for XFEL LLRF Design for the VUV-FEL Cost, Personpower and Schedule RF Systems for XFEL RF Gun Injector 3rd harmonic cavity Main

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

FLASH rf gun. beam generated within the (1.3 GHz) RF gun by a laser. filling time: typical 55 μs. flat top time: up to 800 μs

FLASH rf gun. beam generated within the (1.3 GHz) RF gun by a laser. filling time: typical 55 μs. flat top time: up to 800 μs The gun RF control at FLASH (and PITZ) Elmar Vogel in collaboration with Waldemar Koprek and Piotr Pucyk th FLASH Seminar at December 19 2006 FLASH rf gun beam generated within the (1.3 GHz) RF gun by

More information

Microphonics. T. Powers

Microphonics. T. Powers Microphonics T. Powers What is microphonics? Microphonics is the time domain variation in cavity frequency driven by external vibrational sources. A 1.5 GHz structure 0.5 m long will change in frequency

More information

Real-Time Digital Down-Conversion with Equalization

Real-Time Digital Down-Conversion with Equalization Real-Time Digital Down-Conversion with Equalization February 20, 2019 By Alexander Taratorin, Anatoli Stein, Valeriy Serebryanskiy and Lauri Viitas DOWN CONVERSION PRINCIPLE Down conversion is basic operation

More information

ABSTRACT 1 CEBAF UPGRADE CAVITY/CRYOMODULE

ABSTRACT 1 CEBAF UPGRADE CAVITY/CRYOMODULE Energy Content (Normalized) SC Cavity Resonance Control System for the 12 GeV Upgrade Cavity: Requirements and Performance T. Plawski, T. Allison, R. Bachimanchi, D. Hardy, C. Hovater, Thomas Jefferson

More information

Cavity Field Control - RF Field Controller. LLRF Lecture Part3.3 S. Simrock, Z. Geng DESY, Hamburg, Germany

Cavity Field Control - RF Field Controller. LLRF Lecture Part3.3 S. Simrock, Z. Geng DESY, Hamburg, Germany Cavity Field Control - RF Field Controller LLRF Lecture Part3.3 S. Simrock, Z. Geng DESY, Hamburg, Germany Content Introduction to the controller Control scheme selection In-phase and Quadrature (I/Q)

More information

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Prof. Mahesh M.Gadag Communication Engineering, S. D. M. College of Engineering & Technology, Dharwad, Karnataka, India Mr.

More information

LLRF4 Evaluation Board

LLRF4 Evaluation Board LLRF4 Evaluation Board USPAS Lab Reference Author: Dmitry Teytelman Revision: 1.1 June 11, 2009 Copyright Dimtel, Inc., 2009. All rights reserved. Dimtel, Inc. 2059 Camden Avenue, Suite 136 San Jose, CA

More information

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction REAL TIME DIGITAL SIGNAL Introduction Why Digital? A brief comparison with analog. PROCESSING Seminario de Electrónica: Sistemas Embebidos Advantages The BIG picture Flexibility. Easily modifiable and

More information

THE FPGA AS A FLEXIBLE AND LOW-COST DIGITAL SOLUTION FOR WIRELESS BASE STATIONS

THE FPGA AS A FLEXIBLE AND LOW-COST DIGITAL SOLUTION FOR WIRELESS BASE STATIONS THE FPGA AS A FLEXIBLE AND LOW-COST DIGITAL SOLUTION FOR WIRELESS BASE STATIONS March 2007 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

Software Design of Digital Receiver using FPGA

Software Design of Digital Receiver using FPGA Software Design of Digital Receiver using FPGA G.C.Kudale 1, Dr.B.G.Patil 2, K. Aurobindo 3 1PG Student, Department of Electronics Engineering, Walchand College of Engineering, Sangli, Maharashtra, 2Associate

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

Stratix II DSP Performance

Stratix II DSP Performance White Paper Introduction Stratix II devices offer several digital signal processing (DSP) features that provide exceptional performance for DSP applications. These features include DSP blocks, TriMatrix

More information

Current Rebuilding Concept Applied to Boost CCM for PF Correction

Current Rebuilding Concept Applied to Boost CCM for PF Correction Current Rebuilding Concept Applied to Boost CCM for PF Correction Sindhu.K.S 1, B. Devi Vighneshwari 2 1, 2 Department of Electrical & Electronics Engineering, The Oxford College of Engineering, Bangalore-560068,

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

Keywords: Adaptive filtering, LMS algorithm, Noise cancellation, VHDL Design, Signal to noise ratio (SNR), Convergence Speed.

Keywords: Adaptive filtering, LMS algorithm, Noise cancellation, VHDL Design, Signal to noise ratio (SNR), Convergence Speed. Implementation of Efficient Adaptive Noise Canceller using Least Mean Square Algorithm Mr.A.R. Bokey, Dr M.M.Khanapurkar (Electronics and Telecommunication Department, G.H.Raisoni Autonomous College, India)

More information

Design of Adjustable Reconfigurable Wireless Single Core

Design of Adjustable Reconfigurable Wireless Single Core IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 2 (May. - Jun. 2013), PP 51-55 Design of Adjustable Reconfigurable Wireless Single

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

Review on Progress in RF Control Systems. Cornell University. Matthias Liepe. M. Liepe, Cornell U. SRF 2005, July 14

Review on Progress in RF Control Systems. Cornell University. Matthias Liepe. M. Liepe, Cornell U. SRF 2005, July 14 Review on Progress in RF Control Systems Matthias Liepe Cornell University 1 Why this Talk? As we all know, superconducting cavities have many nice features one of which is very high field stability. Why?

More information

DIGITAL FILTERING OF MULTIPLE ANALOG CHANNELS

DIGITAL FILTERING OF MULTIPLE ANALOG CHANNELS DIGITAL FILTERING OF MULTIPLE ANALOG CHANNELS Item Type text; Proceedings Authors Hicks, William T. Publisher International Foundation for Telemetering Journal International Telemetering Conference Proceedings

More information

Using Soft Multipliers with Stratix & Stratix GX

Using Soft Multipliers with Stratix & Stratix GX Using Soft Multipliers with Stratix & Stratix GX Devices November 2002, ver. 2.0 Application Note 246 Introduction Traditionally, designers have been forced to make a tradeoff between the flexibility of

More information

2002 IEEE International Solid-State Circuits Conference 2002 IEEE

2002 IEEE International Solid-State Circuits Conference 2002 IEEE Outline 802.11a Overview Medium Access Control Design Baseband Transmitter Design Baseband Receiver Design Chip Details What is 802.11a? IEEE standard approved in September, 1999 12 20MHz channels at 5.15-5.35

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

PLC2 FPGA Days Software Defined Radio

PLC2 FPGA Days Software Defined Radio PLC2 FPGA Days 2011 - Software Defined Radio 17 May 2011 Welcome to this presentation of Software Defined Radio as seen from the FPGA engineer s perspective! As FPGA designers, we find SDR a very exciting

More information

Sampling. A Simple Technique to Visualize Sampling. Nyquist s Theorem and Sampling

Sampling. A Simple Technique to Visualize Sampling. Nyquist s Theorem and Sampling Sampling Nyquist s Theorem and Sampling A Simple Technique to Visualize Sampling Before we look at SDR and its various implementations in embedded systems, we ll review a theorem fundamental to sampled

More information

Option 1: A programmable Digital (FIR) Filter

Option 1: A programmable Digital (FIR) Filter Design Project Your design project is basically a module filter. A filter is basically a weighted sum of signals. The signals (input) may be related, e.g. a delayed versions of each other in time, e.g.

More information

A LOW-COST SOFTWARE-DEFINED TELEMETRY RECEIVER

A LOW-COST SOFTWARE-DEFINED TELEMETRY RECEIVER A LOW-COST SOFTWARE-DEFINED TELEMETRY RECEIVER Michael Don U.S. Army Research Laboratory Aberdeen Proving Grounds, MD ABSTRACT The Army Research Laboratories has developed a PCM/FM telemetry receiver using

More information

Digital Low Level RF for SESAME

Digital Low Level RF for SESAME Technical Sector Synchrotron-light for Experimental Science And Applications in the Middle East Subject : RF More specified area: Digital Low Level RF Date: 6/23/2010 Total Number of Pages: 11 Document

More information

A Low-Power Broad-Bandwidth Noise Cancellation VLSI Circuit Design for In-Ear Headphones

A Low-Power Broad-Bandwidth Noise Cancellation VLSI Circuit Design for In-Ear Headphones A Low-Power Broad-Bandwidth Noise Cancellation VLSI Circuit Design for In-Ear Headphones Abstract: Conventional active noise cancelling (ANC) headphones often perform well in reducing the lowfrequency

More information

Implementing DDC with the HERON-FPGA Family

Implementing DDC with the HERON-FPGA Family HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)1278 760188, Fax: (+44) (0)1278 760199, Email: sales@hunteng.demon.co.uk URL: http://www.hunteng.co.uk Implementing

More information

Design and performance of LLRF system for CSNS/RCS *

Design and performance of LLRF system for CSNS/RCS * Design and performance of LLRF system for CSNS/RCS * LI Xiao 1) SUN Hong LONG Wei ZHAO Fa-Cheng ZHANG Chun-Lin Institute of High Energy Physics, Chinese Academy of Sciences, Beijing 100049, China Abstract:

More information

8-Bit, high-speed, µp-compatible A/D converter with track/hold function ADC0820

8-Bit, high-speed, µp-compatible A/D converter with track/hold function ADC0820 8-Bit, high-speed, µp-compatible A/D converter with DESCRIPTION By using a half-flash conversion technique, the 8-bit CMOS A/D offers a 1.5µs conversion time while dissipating a maximum 75mW of power.

More information

THIS work focus on a sector of the hardware to be used

THIS work focus on a sector of the hardware to be used DISSERTATION ON ELECTRICAL AND COMPUTER ENGINEERING 1 Development of a Transponder for the ISTNanoSAT (November 2015) Luís Oliveira luisdeoliveira@tecnico.ulisboa.pt Instituto Superior Técnico Abstract

More information

C0da-r I&9 Commissioning Experience with the PEP-XI Low-Level RF System*

C0da-r I&9 Commissioning Experience with the PEP-XI Low-Level RF System* Cdar 9733 I&9 Commissioning Experience with the PEPXI LowLevel RF System* # SLACPUB753 f May 1997 (A) P. Corredoura, S. Allison, R. Claus, W. Ross, L. Sapozhnikov, H. D. Schwarz, R. Tighe, C. Yee, C. Ziomek

More information

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and 1 Chapter 1 INTRODUCTION 1.1. Introduction In the industrial applications, many three-phase loads require a supply of Variable Voltage Variable Frequency (VVVF) using fast and high-efficient electronic

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices August 2003, ver. 1.0 Application Note 306 Introduction Stratix, Stratix GX, and Cyclone FPGAs have dedicated architectural

More information

Combinational Logic Circuits. Combinational Logic

Combinational Logic Circuits. Combinational Logic Combinational Logic Circuits The outputs of Combinational Logic Circuits are only determined by the logical function of their current input state, logic 0 or logic 1, at any given instant in time. The

More information

Implementing FIR Filters and FFTs with 28-nm Variable-Precision DSP Architecture

Implementing FIR Filters and FFTs with 28-nm Variable-Precision DSP Architecture Implementing FIR Filters and FFTs with 28-nm Variable-Precision DSP Architecture WP-01140-1.0 White Paper Across a range of applications, the two most common functions implemented in FPGA-based high-performance

More information

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016 Analog I/O ECE 153B Sensor & Peripheral Interface Design Introduction Anytime we need to monitor or control analog signals with a digital system, we require analogto-digital (ADC) and digital-to-analog

More information

High Temperature Mixed Signal Capabilities

High Temperature Mixed Signal Capabilities High Temperature Mixed Signal Capabilities June 29, 2017 Product Overview Features o Up to 300 o C Operation o Will support most analog functions. o Easily combined with up to 30K digital gates. o 1.0u

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

This tutorial describes the principles of 24-bit recording systems and clarifies some common mis-conceptions regarding these systems.

This tutorial describes the principles of 24-bit recording systems and clarifies some common mis-conceptions regarding these systems. This tutorial describes the principles of 24-bit recording systems and clarifies some common mis-conceptions regarding these systems. This is a general treatment of the subject and applies to I/O System

More information

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM Item Type text; Proceedings Authors Rosenthal, Glenn K. Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering And Computer Sciences MULTIFREQUENCY CELL IMPEDENCE MEASUREMENT

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering And Computer Sciences MULTIFREQUENCY CELL IMPEDENCE MEASUREMENT UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering And Computer Sciences MULTIFREQUENCY CELL IMPEDENCE MEASUREMENT EE247 Term Project Eddie Ng Mounir Bohsali Professor

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Design of Multiplier Less 32 Tap FIR Filter using VHDL International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of Multiplier Less 32 Tap FIR Filter using VHDL Abul Fazal Reyas Sarwar 1, Saifur Rahman 2 1 (ECE, Integral University, India)

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

Borut Baricevic. Libera LLRF. 17 September 2009

Borut Baricevic. Libera LLRF. 17 September 2009 Borut Baricevic Libera LLRF borut.baricevic@i-tech.si 17 September 2009 Outline Libera LLRF introduction Libera LLRF system topology Signal processing structure GUI and signal acquisition RF system diagnostics

More information

Experiment 9. PID Controller

Experiment 9. PID Controller Experiment 9 PID Controller Objective: - To be familiar with PID controller. - Noting how changing PID controller parameter effect on system response. Theory: The basic function of a controller is to execute

More information

Pre-distortion. General Principles & Implementation in Xilinx FPGAs

Pre-distortion. General Principles & Implementation in Xilinx FPGAs Pre-distortion General Principles & Implementation in Xilinx FPGAs Issues in Transmitter Design 3G systems place much greater requirements on linearity and efficiency of RF transmission stage Linearity

More information

EMBEDDED DOPPLER ULTRASOUND SIGNAL PROCESSING USING FIELD PROGRAMMABLE GATE ARRAYS

EMBEDDED DOPPLER ULTRASOUND SIGNAL PROCESSING USING FIELD PROGRAMMABLE GATE ARRAYS EMBEDDED DOPPLER ULTRASOUND SIGNAL PROCESSING USING FIELD PROGRAMMABLE GATE ARRAYS Diaa ElRahman Mahmoud, Abou-Bakr M. Youssef and Yasser M. Kadah Biomedical Engineering Department, Cairo University, Giza,

More information

DESIGN AND PERFORMANCE OF A SATELLITE TT&C RECEIVER CARD

DESIGN AND PERFORMANCE OF A SATELLITE TT&C RECEIVER CARD DESIGN AND PERFORMANCE OF A SATELLITE TT&C RECEIVER CARD Douglas C. O Cull Microdyne Corporation Aerospace Telemetry Division Ocala, Florida USA ABSTRACT Today s increased satellite usage has placed an

More information

Fast and Accurate RF component characterization enabled by FPGA technology

Fast and Accurate RF component characterization enabled by FPGA technology Fast and Accurate RF component characterization enabled by FPGA technology Guillaume Pailloncy Senior Systems Engineer Agenda RF Application Challenges What are FPGAs and why are they useful? FPGA-based

More information

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL G.Murugesan N. Ramadass Dr.J.Raja paul Perinbum School of ECE Anna University Chennai-600 025 Gm1gm@rediffmail.com ramadassn@yahoo.com

More information

Design and FPGA Implementation of High-speed Parallel FIR Filters

Design and FPGA Implementation of High-speed Parallel FIR Filters 3rd International Conference on Mechatronics, Robotics and Automation (ICMRA 215) Design and FPGA Implementation of High-speed Parallel FIR Filters Baolin HOU 1, a *, Yuancheng YAO 1,b and Mingwei QIN

More information

LINEAR IC APPLICATIONS

LINEAR IC APPLICATIONS 1 B.Tech III Year I Semester (R09) Regular & Supplementary Examinations December/January 2013/14 1 (a) Why is R e in an emitter-coupled differential amplifier replaced by a constant current source? (b)

More information

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core -bit signed input samples gain seed 32 dithering use_complex Accepts either complex (I/Q) or real input samples Programmable

More information

Implementing Audio Digital Feedback Loop Using the National Instruments RIO System

Implementing Audio Digital Feedback Loop Using the National Instruments RIO System Implementing Audio Digital Feedback Loop Using the National Instruments RIO System G. Huang, J. M. Byrd LBNL. One cyclotron Rd. Berkeley,CA,94720 Abstract. Development of system for high precision RF distribution

More information

CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR

CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR 95 CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR 4. 1 INTRODUCTION Several mobile communication standards are currently in service in various parts

More information

DIRECT UP-CONVERSION USING AN FPGA-BASED POLYPHASE MODEM

DIRECT UP-CONVERSION USING AN FPGA-BASED POLYPHASE MODEM DIRECT UP-CONVERSION USING AN FPGA-BASED POLYPHASE MODEM Rob Pelt Altera Corporation 101 Innovation Drive San Jose, California, USA 95134 rpelt@altera.com 1. ABSTRACT Performance requirements for broadband

More information

10. DSP Blocks in Arria GX Devices

10. DSP Blocks in Arria GX Devices 10. SP Blocks in Arria GX evices AGX52010-1.2 Introduction Arria TM GX devices have dedicated digital signal processing (SP) blocks optimized for SP applications requiring high data throughput. These SP

More information

DIGITAL SIGNAL PROCESSING WITH VHDL

DIGITAL SIGNAL PROCESSING WITH VHDL DIGITAL SIGNAL PROCESSING WITH VHDL GET HANDS-ON FROM THEORY TO PRACTICE IN 6 DAYS MODEL WITH SCILAB, BUILD WITH VHDL NUMEROUS MODELLING & SIMULATIONS DIRECTLY DESIGN DSP HARDWARE Brought to you by: Copyright(c)

More information

Digital Signal Processing Techniques

Digital Signal Processing Techniques Digital Signal Processing Techniques Dmitry Teytelman Dimtel, Inc., San Jose, CA, 95124, USA June 17, 2009 Outline 1 Introduction 2 Signal synthesis Arbitrary Waveform Generation CORDIC Direct Digital

More information

Video Enhancement Algorithms on System on Chip

Video Enhancement Algorithms on System on Chip International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Video Enhancement Algorithms on System on Chip Dr.Ch. Ravikumar, Dr. S.K. Srivatsa Abstract- This paper presents

More information

SNS LLRF Design Experience and its Possible Adoption for the ILC

SNS LLRF Design Experience and its Possible Adoption for the ILC SNS LLRF Design Experience and its Possible Adoption for the ILC Brian Chase SNS - Mark Champion Fermilab International Linear Collider Workshop 11/28/2005 1 Why Consider the SNS System for ILC R&D at

More information

APPLICATION NOTE 695 New ICs Revolutionize The Sensor Interface

APPLICATION NOTE 695 New ICs Revolutionize The Sensor Interface Maxim > Design Support > Technical Documents > Application Notes > Sensors > APP 695 Keywords: high performance, low cost, signal conditioner, signal conditioning, precision sensor, signal conditioner,

More information

Digital Signal Processing for an Integrated Power-Meter

Digital Signal Processing for an Integrated Power-Meter 49. Internationales Wissenschaftliches Kolloquium Technische Universität Ilmenau 27.-30. September 2004 Borisav Jovanović / Milunka Damnjanović / Predrag Petković Digital Signal Processing for an Integrated

More information

UPSC Electrical Engineering Syllabus

UPSC Electrical Engineering Syllabus UPSC Electrical Engineering Syllabus UPSC Electrical Engineering Syllabus PAPER I 1. Circuit Theory: Circuit components; network graphs; KCL, KVL; circuit analysis methods: nodal analysis, mesh analysis;

More information

Advantages of Analog Representation. Varies continuously, like the property being measured. Represents continuous values. See Figure 12.

Advantages of Analog Representation. Varies continuously, like the property being measured. Represents continuous values. See Figure 12. Analog Signals Signals that vary continuously throughout a defined range. Representative of many physical quantities, such as temperature and velocity. Usually a voltage or current level. Digital Signals

More information

Lecture 3 Review of Signals and Systems: Part 2. EE4900/EE6720 Digital Communications

Lecture 3 Review of Signals and Systems: Part 2. EE4900/EE6720 Digital Communications EE4900/EE6720: Digital Communications 1 Lecture 3 Review of Signals and Systems: Part 2 Block Diagrams of Communication System Digital Communication System 2 Informatio n (sound, video, text, data, ) Transducer

More information

Digital Logic ircuits Circuits Fundamentals I Fundamentals I

Digital Logic ircuits Circuits Fundamentals I Fundamentals I Digital Logic Circuits Fundamentals I Fundamentals I 1 Digital and Analog Quantities Electronic circuits can be divided into two categories. Digital Electronics : deals with discrete values (= sampled

More information

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Vijay Kumar Ch 1, Leelakrishna Muthyala 1, Chitra E 2 1 Research Scholar, VLSI, SRM University, Tamilnadu, India 2 Assistant Professor,

More information

Operational Amplifiers

Operational Amplifiers Operational Amplifiers Table of contents 1. Design 1.1. The Differential Amplifier 1.2. Level Shifter 1.3. Power Amplifier 2. Characteristics 3. The Opamp without NFB 4. Linear Amplifiers 4.1. The Non-Inverting

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

WINTER 14 EXAMINATION

WINTER 14 EXAMINATION Subject Code:173 WINTER 14 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The

More information

FPGA Co-Processing Solutions for High-Performance Signal Processing Applications. 101 Innovation Dr., MS: N. First Street, Suite 310

FPGA Co-Processing Solutions for High-Performance Signal Processing Applications. 101 Innovation Dr., MS: N. First Street, Suite 310 FPGA Co-Processing Solutions for High-Performance Signal Processing Applications Tapan A. Mehta Joel Rotem Strategic Marketing Manager Chief Application Engineer Altera Corporation MangoDSP 101 Innovation

More information

Software Design Specification for LLRF Applications at FLASH Version 1.0 Prepared by Zheqiao Geng MSK, DESY Nov. 16, 2009

Software Design Specification for LLRF Applications at FLASH Version 1.0 Prepared by Zheqiao Geng MSK, DESY Nov. 16, 2009 Software Design Specification for LLRF Applications at FLASH Version 1.0 Prepared by Zheqiao Geng MSK, DESY Nov. 16, 2009 Copyright 2009 by Zheqiao Geng. Any change of this document should be agreed by

More information

Hot Swap Controller Enables Standard Power Supplies to Share Load

Hot Swap Controller Enables Standard Power Supplies to Share Load L DESIGN FEATURES Hot Swap Controller Enables Standard Power Supplies to Share Load Introduction The LTC435 Hot Swap and load share controller is a powerful tool for developing high availability redundant

More information

National Instruments Flex II ADC Technology The Flexible Resolution Technology inside the NI PXI-5922 Digitizer

National Instruments Flex II ADC Technology The Flexible Resolution Technology inside the NI PXI-5922 Digitizer National Instruments Flex II ADC Technology The Flexible Resolution Technology inside the NI PXI-5922 Digitizer Kaustubh Wagle and Niels Knudsen National Instruments, Austin, TX Abstract Single-bit delta-sigma

More information

Enabling High-Performance DSP Applications with Arria V or Cyclone V Variable-Precision DSP Blocks

Enabling High-Performance DSP Applications with Arria V or Cyclone V Variable-Precision DSP Blocks Enabling HighPerformance DSP Applications with Arria V or Cyclone V VariablePrecision DSP Blocks WP011591.0 White Paper This document highlights the benefits of variableprecision digital signal processing

More information

Basic Operational Amplifier Circuits

Basic Operational Amplifier Circuits Basic Operational Amplifier Circuits Comparators A comparator is a specialized nonlinear op-amp circuit that compares two input voltages and produces an output state that indicates which one is greater.

More information

Chapter 5: Signal conversion

Chapter 5: Signal conversion Chapter 5: Signal conversion Learning Objectives: At the end of this topic you will be able to: explain the need for signal conversion between analogue and digital form in communications and microprocessors

More information

About the Tutorial. Audience. Prerequisites. Copyright & Disclaimer. Linear Integrated Circuits Applications

About the Tutorial. Audience. Prerequisites. Copyright & Disclaimer. Linear Integrated Circuits Applications About the Tutorial Linear Integrated Circuits are solid state analog devices that can operate over a continuous range of input signals. Theoretically, they are characterized by an infinite number of operating

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

4 FSK Demodulators. 4.1 FSK Demodulation Zero-crossing Detector. FSK Demodulator Architectures Page 23

4 FSK Demodulators. 4.1 FSK Demodulation Zero-crossing Detector. FSK Demodulator Architectures Page 23 FSK Demodulator Architectures Page 23 4 FSK Demodulators T he previous chapter dealt with the theoretical aspect of Frequency Shift Keying demodulation. The conclusion from this analysis was that coherent

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

FPGA Implementation of High Speed FIR Filters and less power consumption structure

FPGA Implementation of High Speed FIR Filters and less power consumption structure International Journal of Engineering Inventions e-issn: 2278-7461, p-issn: 2319-6491 Volume 2, Issue 12 (August 2013) PP: 05-10 FPGA Implementation of High Speed FIR Filters and less power consumption

More information

Multiplierless sigma-delta modulation beam forming for ultrasound nondestructive testing

Multiplierless sigma-delta modulation beam forming for ultrasound nondestructive testing Key Engineering Materials Vols. 270-273 (2004) pp 215-220 online at http://www.scientific.net (2004) Trans Tech Publications, Switzerland Citation Online available & since 2004/Aug/15 Copyright (to be

More information

Imaging serial interface ROM

Imaging serial interface ROM Page 1 of 6 ( 3 of 32 ) United States Patent Application 20070024904 Kind Code A1 Baer; Richard L. ; et al. February 1, 2007 Imaging serial interface ROM Abstract Imaging serial interface ROM (ISIROM).

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER American Journal of Applied Sciences 11 (2): 180-188, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.180.188 Published Online 11 (2) 2014 (http://www.thescipub.com/ajas.toc) AREA

More information