System Integration for High Frequency Applications

Size: px
Start display at page:

Download "System Integration for High Frequency Applications"

Transcription

1 System Integration for High Frequency Applications System Integration for High Frequency Applications J. Wolf, F.J. Schmückle*, W. Heinrich*, M. Töpper**, K. Buschick, A. Owzar**, O. Ehrmann, and H. Reichl Fraunhofer-Institute for Reliability and Microintegration Berlin ** Technische Universität Berlin, Sekr. TIB. 4/2-1 Gustav-Meyer-Allee 25, Berlin, Germany Phone: +49 (0) / Fax: +49 (0) * Ferdinand Braun Institut, Berlin Rudower Chaussee 5, 129 Berlin, Germany Phone: +49 (0) Fax: +49 (0) Abstract Thin film multilayer substrate technology with dielectric polymer layers and sputtered / electroplated wiring provide the highest line density per layer and therefore they are of special interest for MCM applications. A planar integration technology of bare dice embedded in ceramic substrates is used. The components are inserted into premanufactured windows of ceramic substrates and fixed in their position by epoxy. A thin film multilayer structure is realized in a planar fashion on top of the embedded system. The metallization is based on a Ti:W / Cu tie layer, which is subsequently electroplated with Cu. Polyimide Pyralin TM 2722 (Du Pont) and Cyclotene TM (Dow Chemical) are used as interlevel dielectrics. The paper addresses the transmission lines characteristics (MS and CPW) for the chip substrate interconnection. Furthermore, the effect of the meshed ground plane on the line parameter impedance is discussed. The dielectric polymer layers are characterized by the effective permittivity (e r ) and the loss factor (tan d). Key words: MCM-D, Thin Film Technology, Chip First, Impedance Controlled Systems, and HF Application. 1. Introduction Multichip Modules (MCMs) as a group of highly functional electronic devices interconnected to a substrate reduce cost and size and they also provide opportunities to integrate unique functions of chips from various processing technologies into a system. Today, multichip modules present the highest performance in packaging technology. MCMs combine several ICs with a functionally designed substrate which takes full advantage of the IC performance. This complex substrate structure can be realized in different technologies. Thin film multilayer structures on ceramic, silicon, or metal (MCM- D) provide the highest line density per layer. The metallization for the wiring system is deposited by sputtering and electroplating. Polymers with a low dielectric constant (e r < 4) are used as the dielectric materials. In the following, a special MCM technology for high frequency applications is discussed where bare dice are directly embedded into substrate openings or recessed areas (cavities). The interconnection and wiring system is realized using thin film technology on the planar chip/substrate surface. The main advantages of such a configuration are short interconnection lengths between the chip and the substrate, no chip preparation (bumping), planar topography, and high integration density. Additionally, all transmission lines on the substrate and from the substrate to the active or passive components can be realized with a controlled impedance. 2. MCM-D with Embedded Circuits 2.1. Principle There are two main principles which can be used for MCM- D with embedded active or passive components. In the first one, The International Journal of Microcircuits and Electronic Packaging, Volume 21, Number 1, First Quarter 1998 (ISSN ) 119

2 Intl. Journal of Microcircuits and Electronic Packaging called chip-first, the chips are mounted into openings in the MCM substrate and the wiring and interconnects are applied by depositing the metallization on top of a first polymer layer which covers the chips as well as the substrate. Via holes are opened in the photosensitive polymer film to contact the embedded chips with the first metallization layer. The metallization is deposited by sputtering and electroplating using a photoresist mask. A second polymer layer with a thickness of 25 µm is deposited and photoprinted. The second metallization layer is deposited and structured in adjustment to the first metal layer 1,2. For the second principle, called chip-last technology, the chips are embedded into the substrate after the realization of the thin film wiring on the substrate. With an additional thin film metallization, the interconnects from the substrate to the embedded chips are realized. Figure 1 shows a schematic cross-section of the chip-first and chip-last constructions. epoxy chip metal 2 (Cu) substrate ceramic Compared to dry-etch materials, photosensitive polymers require fewer processing steps for multilayer metallization. The Photo- Cyclotene TM (Dow Chemical) and the Photo- Pyralin TM 2722 (Du Pont) are therefore the dielectrics of choice for the thin film process at IZM / TUB. In Table 1, the properties of the two polymers are compared 3. Table 1. Properties and processing conditions of the photosensitive polymers used (supplier data). Polymer Cyclotene TM Pyralin TM 2722 Supplier Dow Du Pont Chemical Dielectr. const. ε r at 1kHz Loss factor tan δ at 1kHz Water uptake [%] CTE [ppm/k] T g [ C] > 350 > 400 Cure temp. [ C] 210 for 0.5 h 350 for 2 h chip first metal 1 (Cu) has some advantages due to its moderate curing temperature below 250 C in comparison to the high curing temperature of polyimides in excess of 350 C. After having processed all layers, the films are fully cured for 90 min at 250 C. has a very low degree of shrinkage due to its polymerization reaction (Diels- Alder Cycloaddition) producing no by-products. Film thicknesses of over 25µm are therefore possible to realize in one process step using the batch development system 2 DS The electrical resistance of the vias is in the range of 1 mw for 50 µm vias (mask dimension). Figure 2 shows a cross section of a 50 µm via and Figure 3 presents the electrical resistance of vias in the range from 40 µm to 80 µm diameter. chip last Figure 1. Principles of embedding technology Thin Film Process Dielectrics Polyimides () and Benzocyclobutene () are thin film polymers having a low dielectrical constant in addition to a very high thermal stability (glass transition temperature over 350 C). Figure 2. Via (50µm) in thick Photo- (20 µm) with Cu-metallization (5 µm) 120

3 System Integration for High Frequency Applications R in m Ω Via Diameter Figure 3. Electrical resistance of vias in Photo- (6 wafers). Metallization The thin film metallization is based on a Ti:W/Cu (100nm/200nm) tie layer, which is subsequently electroplated using a photoresist mask (AZ 4562, Kalle-Hoechst).The thickness of the metallization is 5 µm. Figure 4 shows a microstrip structure (Ti:W/Cu / ep-cu) where the polymer is removed with RIE to visualize the planarization of the photo-. A CPW-structure on a ceramic substrate with a layer is shown in Figure 5. Figure 4. SEM of a MS-structure (,Cu); (Polymer etched with RIE). 3. Electrical Characterization of Thin Film Wiring 3.1. Transmission line Types For the interconnections between the different chips and for additional passive elements on the carrier substrate, two line types are of main interest: the microstrip (MS) line and the coplanar waveguide (CPW). Using these structures to connect the chips provides a multichip system with good electrical properties and a high level of reproducibility. The electrical characteristics of the transmission lines are mainly determined by the material properties of the dielectric, the metallization layer, and their dimensions (line width, line space, dielectric thickness). For most applications, it is necessary to realize 50W matching impedance systems. For chip connections on the carrier substrate, the MS line offers the advantage that the electromagnetic field is concentrated in the dielectric between the metallizations. The part of the fields penetrating the ground-metallization layer is attenuated so strongly that the influence of the substrate can be neglected. Thus, the MS transmission properties become independent of the carrier material, which is of interest using low-resistivity silicon for instance. In the case of the CPW structure, on the other hand, the field penetrates into both the dielectric (with a small thickness h) and the substrate. Hence, the electrical properties of the substrate, especially the losses, influence the wave propagation. The connection to the chip is realized either by a direct contact to the pads of the embedded chip or by vias leading through one or two dielectric layers. In order to design connection lines and the transitions between the chip and the carrier substrate properly, one needs to know the electromagnetic characteristics of the transmission line structures for different parameter sets. In the following, corresponding data on both the CPW and the MS structures are presented. The simulations were performed by means of a mode-matching procedure that takes into account losses in both the metallizations and the dielectric material Chip - Substrate Interconnection Figure 5. SEM of a CPW-structure (Cu on ) (gap between signal and ground: 10 µm). In the chip-first approach (TEC 01), the electrical interconnection between the chip and the substrate is realized by the first metallization layer for both, signal and ground (CPW). On chip side, both lines are connected by vias to the chip pads through a thin dielectric layer (, ). The TEC 05 approach (Chip-last) represents the technology where the chip is inserted into the substrate after realization of the substrate wiring. The electrical interconnection is realized as a CPW by a third metal layer. The different structures were investigated with a 3D Finite- Difference code 5 using about 250,000 cells. The structures in Figure 6 are shown, as they were calculated, with a magnetic wall as a symmetry plane in the center of the signal line. The computations The International Journal of Microcircuits and Electronic Packaging, Volume 21, Number 1, First Quarter 1998 (ISSN ) 121

4 Intl. Journal of Microcircuits and Electronic Packaging were preformed with a supply MS line on the substrate side and an CPW line on the chip side. In Figure 6, the reflection parameters S 11 of the transmission from substrate to chip for the different technologies are shown as a function of frequency. Due to the present minimum possible gap width in the first run of technologies TEC01 and TEC05, the transmission line over the epoxy gap has an increased characteristic impedance compared to the 50 W supply lines. This leads to reflections larger than -15 db in the frequency range under consideration. variation of ±1 µm in strip width yields a deviation of ±1.8 % of the nominal characteristic impedance. Nevertheless, such deviations are tolerable and meet the practical specifications requirements. Re(Z)/Ohm 63 epoxy gap TEC01 : h2 = 25 µm w(nominal) = 80 µm Chip First : TEC01 Chip First : TEC03 53 substrate GaAs-chip S11 = S22 in db CPW-signal CPW-ground g = 150 µm g = 50 µm g = 100 µm Tra n sitio n MS-signal / Chip Last : TEC delta-w/µm Figure 7. Effects of tolerances in line width w on characteristic impedance of the transmission line elements from substrate to chip for TEC01 with dielectric and at f = 20 GHz. -40 chip adhesive gap substrate MS-ground vias Figure 6. Reflection coefficient of the transmission for different technologies and epoxy adhesive gap widths g. Best performance by TEC05 with a 50 µm coverage of the CPW-bridge. An optimized chip-last structure provides values better than - 20 db for the reflection parameter S 11 even for different epoxy adhesive gap widths g. This should also be valid for structures in technology TEC01, which are presently under investigation. Smaller gap width and wider ground metallizations will be considered in the second technology test-run Coplanar Waveguide (CPW) Characteristics For technological reasons, the CPW transitions contacting the embedded chip pads have wider gaps. Due to their position in the thin film layers, they are guided not on top of the dielectric but inside a dielectric layer. Both these facts result in producing opposite effects. As they compensate mutually, the total deviations of the electrical properties of the CPW-structure remain small. In Figure 7 and Figure 8, the effects of small deviations in line width w on the characteristic impedance are shown for the transmission line elements from the substrate to the chip for TEC01 and TEC 05 with and dielectrics. The values vary slighly in the frequency range GHz. Figure 9 presents the same data for the substrate wiring. Both cases, and dielectrics, are treated. The ground-metallization is 150 µm wide, and the metallization thickness is 5 µm. The values do not change significantly in the frequency range GHz. A Re(Z)/Ohm µm w(nominal) = 65 µm -1 substrate GaAs-chip delta-w/µm epoxy gap Figure 8. Effects of tolerances in line width w on characteristic impedance of the transmission line elements from substrate to chip for TEC05 with dielectric and at f = 20 GHz. Re(Z)/Ohm valid for f = GHz -1 -dielectric w(nominal) = 80 µm delta-w/um -dielectric w(nominal) = 78 µm Figure 9. Effects of small tolerances in the line width w on characteristic impedance of CPW-lines. 122

5 System Integration for High Frequency Applications In Figure 10, the calculated nominal values and the measured data of a CPW on are depicted and demonstrate good agreement. The effects of deviations in the line width w, dielectric constant e r and thickness d may vary the characteristic impedance in a range 47 < Re(Z L ) <54 Ohm as shown by the tolerance curves. Most probably small deviations in both, width w and e r are the reason of the deviation of the measured data from the nominal calculated values Microstrip Characteristics For the MS on -dielectric, Figure 12 depicts the nominal values of the characteristic impedance and e reff =(b/ b 0 ) 2, the square of the real part of the normalized phase constant, in a frequency range up to 30 GHz, as well as their deviations when varying the strip width, the dielectric constant, and the thickness of the dielectric. 56 w = 74 µm 150 µ m 11 µ m 78 µ m m g w κ = 1.74*10^7 S/m d=35 µ m h=550 µ m ε r = 3.3 tan δ = ε r = 9.8 2,8 2,7 2,6 d=25 µ m l=5 µ m κ = 1.74*10^7 S/m µ m w ε r = 2.7 tan δ = Re(ZL)/Ohm h = 44 µm h = 26 µm εr = 2.7 measured nominal εr = 3.9 w = 82 µm Figure 10. Characteristic impedance of a CPW on -dielectric and its deviations depending on the variation of strip width, dielectric constant and thickness of the dielectric (h = 35 µm, e r = 3.3, tan d = 0.02, w=78 µm, ground to ground = 100 µm, ceramic carrier). In Figure 11, the calculated and the measured data of a CPW structure on show also good agreement. The CPW-lines are realized on different substrates and then measured with different equipment systems. They are compared to the calculated results. A -structure (dimensions calculated for -dielectric) but dielectric used is considered. An estimated agreement in the results better than 6 % is demonstrated. Re(Zw)/Ohm ereff ,5 2,4 2,3 2,2 εr = 2.5 h=550 µ m w = 70 µm ε r = 9.8 εr = 2.9 h = 15 µm nominal w = 50 µm h = 35 µm measured 2, ε r = 2.5 ε r = 2.9 w = 70 µm nominal measured κ = 1.74*10^7 S/m h = 35 µm w = 50 µm µ m w κ = 1.74*10^7 S/m d=35 µ m 150 µ m 11 µ m 78 µ m m g w ε r = 2.7 tan δ = h = 15 µm d=25 µ m l=5 µ m h=550 µ m ε r = 2.7 tan δ = ε r = 9.8 Re(Z)/Ohm h=550 µ m ε r = 9.8 calculated Figure 12. Characteristic effective permittivity e reff =(b/b 0 ) 2 and impedance of a MS on -dielectric and their deviations depending on the variation of strip width, dielectric constant and thickness of the dielectric (h = 25 µm, e r = 2.7, tan d = (worst-case), w = µm, ceramic carrier). measured The ground-metallization has a width of 250 µm, and the metallization thickness is 5 µm. The thin film process described above guarantees an accuracy of ±1 µm for strip width and thickness of Figure 11. Comparison of calculated CPW characteristic impedance to measurements. in the characteristic impedance, and the same variation in thickness dielectric. A ±1 µm strip-width variation yields a deviation of ±1.3 % of the dielectric causes ±2.8 % change of the nominal characteristic impedance value. The deviations of e reff are smaller than ±1 %, so that the propagation constant changes by less than ±0.5 %. The International Journal of Microcircuits and Electronic Packaging, Volume 21, Number 1, First Quarter 1998 (ISSN ) 123

6 Intl. Journal of Microcircuits and Electronic Packaging The corresponding data for dielectric show that a variation in strip width causes a ±1.2 % deviation in the characteristic impedance, and the same variation of the dielectric thickness leads to a deviation of ±2.8 %. In both cases, the deviations can be tolerated and fulfill the practical requirements. In Figure 13, the effects of small deviations in the line width w on the characteristic impedance of MS-lines are shown for both cases, - and -dielectric, respectively. The values do not change significantly in the frequency range from GHz. Re (Z) /Ohm 51, , ,5 49,5 valid for f = GHz -dielectric w(nominal)=64 µm -dielectric w(nominal)= µm 3.5. Microstrip Structure with Meshed Ground If the ground plane is buried into the dielectric polyimide layer, it is necessary to realize meshed ground structures, due to the outgassing of the polyimide during curing taken into account. The effect of the meshed ground plane on the line parameters, the impedance, and the attenuation constant were investigated for the polymer ( 2722). The high frequency measurements investigate transmission lines (width: 30 µm) for two different meshed ground geometries (40x40 µm², x µm²) and varying disalignment (V= 0 µm - 40 µm) between the signal line and the meshed ground. Figure 15 and Figure 16 present the line parameters the impedance, versus frequency for the 40x40 µm² and x µm² meshed structures, respectively Z [V=5µm] Z [V=10µm] Z [V=20µm] Z [V=30µm] Z [V=35µm] delta-w/µm Figure 13. Effects of small deviations in the line width w onto the characteristic impedance of MS-lines. For synthesis purposes, Figure 14 shows strip width and attenuation for a 50W-MS on -dielectric in dependence of the thickness of the dielectric f[gh z] Figure 15. Characteristic impedance versus frequency ( 2722, 40x40 µm² meshed) : w 2 : alpha 0,16 microstrip W / µm h/µm 1 0,15 0,14 0,13 0,12 0,11 0,1 0,09 0,08 0,07 0,06 alpha / (db/mm) Z Z [V=0µm]. Z [V=10µm] Z [V=30µm] Z [V=40µm] f[gh z] Figure 14. Strip width w and attenuation a versus thickness of dielectric for a MS with -dielectric. Figure 16. Characteristic impedance versus frequency ( 2722, x µm² meshed). 124

7 System Integration for High Frequency Applications The measurement results indicate that the assumption of an increase of the characteristic impedance due to openings in the meshed ground layer is not always a valid assumption. Depending on the thickness of the first polymer layer (h = 10 µm), the influence of the ceramic substrate results in a decreasing impedance with increasing disalignment V for the smaller mesh openings while the impedance increases for the larger ones 6. Table 2 and Figure 17 present the results of the measured conductance and the loss factor tan d for the dielectric 2722 and the material. It is shown that for both materials, the loss factor tan d obtained from the measurements differs strongly from the supplier data. In the case of, the dielectric losses are significant. Since the dielectric losses increase proportionally to the frequency, they become a severe problem in the frequency range over 10 GHz. Table 2. Measured loss factor (tan d ); f = 2GHz. C [pf/cm] G [ms/cm] tgδ[%] Results of the influence of a disalignment between the signal line and the meshed ground on the characteristic impedance for a MSstructure using polyimide as a dielectric are presented. In summary, the results indicate that the impact of small variations in geometry caused by the technological process on the line parameters meets the practical requirements in terms of signal transmission. Microstrip structures for the wiring on the substrate and the as the dielectric are well suited for high frequency applications in the GHz range. Acknowledgments The authors would like especially thank Mr. R. Doerner (FBH), Mr. D. Petter, and Mr. D. Weiher for the electrical measurements. References Conductance[S/cm] 5E-4 4E-4 3E-4 2E-4 1E f[ghz] Figure 17. Conductance versus frequency ( 2722, Cyclotene 4026). 4. Conclusions Highly integrated systems with controlled characteristic impedance can be realized using thin film technology (MCM-D). The combination with a chip embedding technique gives the possibility to realize an impedance-controlled interconnection between the chip and the substrate wiring too, which is of interest for high performance systems. The effect of variation of the linewidth and the dielectric thickness on the impedance is discussed for MS and CPW transmission lines using polyimide ( 2722) and The International (Cyclotene 4026) Journal as dielectrics. of Microcircuits and Electronic Packaging, Volume 21, Number 1, First Quarter 1998 (ISSN ) 1. M. Töpper, K. Buschick, J. Wolf, V. Glaw, R. Hahn, A. Dabok, O. Ehrmann, and H. Reichl, Embedding Technology- A Chip- First Approach using, Procceding of The Third International Symposium on Advanced Packaging Materials, Braselton, Georgia, pp.11-14, March M. Töpper, J. Wolf, V. Glaw, K. Buschick, A. Dabek, L. Dietrich, O. Ehrmann, and H. Reichl, MCM-D with Embedded Active and Passive Components, Proceedings of The International Microelectronics Conference, IMAPS 96, Minneapolis, Minnesota, pp , October A.J.G. Strandjord, Y. Ida, P.E. Garrou, W.B. Rogers, S.L. Cummings, and S.R. Kisting, MCM-D Fabrication with Photosensitive : Processing, Solder Bumping, Systems Assembly, and Testing Proceedings of The International Microelectronics Conference, ISHM 95, Los Angeles, California, pp , October W. Heinrich, Full-Wave Analysis of Conductor Losses on MMIC Transmission Lines, IEEE Transactions On Microwaves Theory and Techniques, MTT, Vol. 38, pp , K. Beilenhoff, W. Heinrich, and H.L.Hartnagel, Improved Finite-Difference Formulation in Frequency Domain for Three- Dimensional Scattering Problems IEEE Transactions On Microwaves Theory and Techniques, MTT, Vol. 40, No. 3, pp , A. Owzar, M. Kasper, and J. Wolf, Electrical Characterization of Thin film Wiring using Meshed Ground, Proccedings of The Third VLSI Packaging Workshop of Japan, Kyoto, Japan, December

8 Intl. Journal of Microcircuits and Electronic Packaging About the authors Jürgen Wolf received the M.S. Degree in Electrical Engineering in From 1979 to 1989, he was working as an R&D engineer for chip&wire interconnection for optoelectronical hybrid systems. In 1990, he joined the Technical University of Berlin - Microperipheric Technologies. He was involved in the development of solder bumping and the application of flip chip technology for multichip modules. In 1994, he joined the Fraunhofer Institute - IZM in Berlin. Currently, he is working in the Packaging Department for MCM where he is responsible for technology development for high frequency systems in MCMs, microsystems, and bumping. He is a member of IMAPS society. field: Development of sputtered metallizations for packaging applications. In 1994 he became head of the department Multichip Modules at the Fraunhofer Institut Reliability and Microintegration (IZM). Herbert Reichl is the Director of the Microperipheric Technology Center at the Technical University of Berlin (TUB) and of the Fraunhofer Institute Reliability and Microintegration (IZM) Berlin. He received his M.S. and Ph.D Degrees in Electrical Engineering from the Technical University of Munich, Germany. Prof. Reichl is the general Chairman of the SMT / ASIC / Hybrid Conference and MicroSystem Technologies Conference in Germany. F. J. Schmückle studied at the FH Wiesbaden ( 77-80) and the TH Darmstadt ( 80-85). He then worked at the Fernuniversität, Germany ( 86-91) together with Prof. Pregla on numerical investigations of electromagnetic fields and waves applying the Method of Lines. After obtaining his Ph.D. Degree, he worked with a CAD-company ( 91-93) and since 1994 he is with the Ferdinand- Braun-Institut, Berlin, Germany where his work focusses on field theory, parameter extraction of passive and active elements, passive structure synthesis, and layout generation. Wolfgang Heinrich was born in Frankfurt, West Germany, in 19. He received the Dipl.-Ing., Dr.-Ing. and the habilitation degrees in 1982, 1987 and 1992, respectively, all from the Technical University of Darmstadt, Germany. In 1983, he joined the staff of the Institut für Hochfrequenztechnik of the same University working on field-theoretical analysis and simulation of planar transmission lines. Since April 1993, he is with the Ferdinand-Braun-Institut at Berlin, Germany, as head of the microwave Department. His present research activities focus on the CAD of MMIC elements and related packaging problems and on microwave circuit design. Michael Toepper studied Chemistry at the University of Karlsruhe, where he received his M.S. Degree in At the Institute of Macromolecular Chemistry, his research work was the investigation of intermolecular forces of polymers and latices. He joined the Microperipherics Technology Center of the Technical University Berlin (TUB) at 1994 as a research scientist. He is in the Packaging Department for MCM of the joint institues of the TUB and IZM. His main working area is the development of thin film polymer processes. Klaus Buschick received the M.S. Degree in Physics from the Technical University of Berlin. He spent 4 years on basic research in radiation chemistry and has 9 years experience in R&D of thin film technology as a specialist for microsystem technologies. Oswin Ehrmann studied Physics at the Technical University of Berlin (TUB). After receiving his diploma in 1987, he joined the Reserch Center of Microperipheric Technologies at the Faculty of Electrical Engineering of TUB. There, he has been working in the 126

Fabrication of a High-Density MCM-D for a Pixel Detector System using a BCB/Cu Technology

Fabrication of a High-Density MCM-D for a Pixel Detector System using a BCB/Cu Technology Fabrication of a High-Density MCM-D for a Pixel Detector System using a BCB/Cu Technology M. Töpper, L. Dietrich, G. Engelmann, S. Fehlberg, P. Gerlach*, J. Wolf, O. Ehrmann, K.-H. Becks*, H. Reichl Technical

More information

Fabrication of a High-Density MCM-D for a Pixel Detector System using a BCB/Cu/PbSn Technology

Fabrication of a High-Density MCM-D for a Pixel Detector System using a BCB/Cu/PbSn Technology Fabrication of a High-Density MCM-D for a Pixel Detector System using a BCB/Cu/PbSn Technology M. Töpper, P. Gerlach*, L. Dietrich, S. Fehlberg, C. Karduck, C. Meinherz, J. Wolf, O. Ehrmann, K.-H. Becks*,

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

Ultra-Thin, Highly Flexible Cables and Interconnections for Low and High Frequencies

Ultra-Thin, Highly Flexible Cables and Interconnections for Low and High Frequencies Ultra-Thin, Highly Flexible Cables and Interconnections for Low and High Frequencies Hans Burkard a, Tobias Lamprecht b, Thomas Morf b, Bert Jan Offrein b, Josef Link a a Hightec MC AG, Fabrikstrasse,

More information

Introduction: Planar Transmission Lines

Introduction: Planar Transmission Lines Chapter-1 Introduction: Planar Transmission Lines 1.1 Overview Microwave integrated circuit (MIC) techniques represent an extension of integrated circuit technology to microwave frequencies. Since four

More information

Design and Modeling of Through-Silicon Vias for 3D Integration

Design and Modeling of Through-Silicon Vias for 3D Integration Design and Modeling of Through-Silicon Vias for 3D Integration Ivan Ndip, Brian Curran, Gerhard Fotheringham, Jurgen Wolf, Stephan Guttowski, Herbert Reichl Fraunhofer IZM & BeCAP @ TU Berlin IEEE Workshop

More information

Chapter 2. Literature Review

Chapter 2. Literature Review Chapter 2 Literature Review 2.1 Development of Electronic Packaging Electronic Packaging is to assemble an integrated circuit device with specific function and to connect with other electronic devices.

More information

Ultra-thin, highly flexible RF cables and interconnections

Ultra-thin, highly flexible RF cables and interconnections Ultra-thin, highly flexible RF cables and interconnections Hans Burkard, Hightec MC AG, Lenzburg, Switzerland Urs Brunner, Hightec MC AG, Lenzburg, Switzerland Karl Kurz, Hightec MC AG, Lenzburg, Switzerland

More information

Design and Characterization of CPW Feedthroughs in Multilayer Thin Film MCM-D

Design and Characterization of CPW Feedthroughs in Multilayer Thin Film MCM-D Design and Characterization of CPW Feedthroughs in Multilayer Thin Film MCM-D Design and Characterization of CPW Feedthroughs in Multilayer Thin Film MCM-D G. Carchon*, W. De Raedt +, B. Nauwelaers*, and

More information

Integration Techniques for MMICs and Chip Devices in LTCC Multichip Modules for Radio Frequencies

Integration Techniques for MMICs and Chip Devices in LTCC Multichip Modules for Radio Frequencies Integration Techniques for MMICs and Chip Devices in LTCC Multichip Modules for Radio Frequencies R. Kulke *, W. Simon *, M. Rittweger *, I. Wolff *, S. Baker +, R. Powell + and M. Harrison + * Institute

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

Efficient Band Pass Filter Design for a 25 GHz LTCC Multichip Module using Hybrid Optimization

Efficient Band Pass Filter Design for a 25 GHz LTCC Multichip Module using Hybrid Optimization Efficient Band Pass Filter Design for a 25 GHz LTCC Multichip Module using Hybrid Optimization W. Simon, R. Kulke, A. Lauer, M. Rittweger, P. Waldow, I. Wolff INSTITUTE OF MOBILE AND SATELLITE COMMUNICATION

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications

CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications Kamaljeet Singh & K Nagachenchaiah Semiconductor Laboratory (SCL), SAS Nagar, Near Chandigarh, India-160071 kamaljs@sclchd.co.in,

More information

Planar Transmission Line Technologies

Planar Transmission Line Technologies Planar Transmission Line Technologies CMB Polarization Technology Workshop NIST/Boulder Edward J. Wollack Observational Cosmology Laboratory NASA Goddard Space Flight Center Greenbelt, Maryland Overview

More information

Design of Microwave MCM-D CPW Quadrature Couplers and Power Dividers in X-, Ku- and Kaband

Design of Microwave MCM-D CPW Quadrature Couplers and Power Dividers in X-, Ku- and Kaband Design of Microwave MCM-D CPW Quadrature Couplers and Power Dividers in X-, Ku- and Ka-band Design of Microwave MCM-D CPW Quadrature Couplers and Power Dividers in X-, Ku- and Kaband G. Carchon*, S. Brebels

More information

Microwave Characterization and Modeling of Multilayered Cofired Ceramic Waveguides

Microwave Characterization and Modeling of Multilayered Cofired Ceramic Waveguides Microwave Characterization and Modeling of Multilayered Cofired Ceramic Waveguides Microwave Characterization and Modeling of Multilayered Cofired Ceramic Waveguides Daniel Stevens and John Gipprich Northrop

More information

This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, June 2018.

This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, June 2018. http://www.diva-portal.org Postprint This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, 10-15 June 2018. Citation for the original

More information

High Frequency Electrical Characterization of a High Wiring Density Organic Substrate ALIVH TM and Stud Bump Bonding SBB TM

High Frequency Electrical Characterization of a High Wiring Density Organic Substrate ALIVH TM and Stud Bump Bonding SBB TM High Frequency Electrical Characterization of a High Wiring Density Organic ALIVH and Stud Bump Bonding SBB High Frequency Electrical Characterization of a High Wiring Density Organic ALIVH TM and Stud

More information

Finite Width Coplanar Waveguide for Microwave and Millimeter-Wave Integrated Circuits

Finite Width Coplanar Waveguide for Microwave and Millimeter-Wave Integrated Circuits Finite Width Coplanar Waveguide for Microwave and Millimeter-Wave Integrated Circuits George E. Ponchak 1, Steve Robertson 2, Fred Brauchler 2, Jack East 2, Linda P. B. Katehi 2 (1) NASA Lewis Research

More information

GaAs MMICs Using BCB Thin Film Layers for Automotive Radar and Wireless Communication Application

GaAs MMICs Using BCB Thin Film Layers for Automotive Radar and Wireless Communication Application MMICs Using BCB Thin Film Layers for Automotive Radar and Wireless Communication Application MMICs Using BCB Thin Film Layers for Automotive Radar and Wireless Communication Application Yuji Iseki, Eiji

More information

High Performance Silicon-Based Inductors for RF Integrated Passive Devices

High Performance Silicon-Based Inductors for RF Integrated Passive Devices Progress In Electromagnetics Research, Vol. 146, 181 186, 2014 High Performance Silicon-Based Inductors for RF Integrated Passive Devices Mei Han, Gaowei Xu, and Le Luo * Abstract High-Q inductors are

More information

Substrate-Integrated Waveguides in Glass Interposers with Through-Package-Vias

Substrate-Integrated Waveguides in Glass Interposers with Through-Package-Vias Substrate-Integrated Waveguides in Glass Interposers with Through-Package-Vias Jialing Tong, Venky Sundaram, Aric Shorey +, and Rao Tummala 3D Systems Packaging Research Center Georgia Institute of Technology,

More information

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Brett Sawyer, Bruce C. Chou, Saumya Gandhi, Jack Mateosky, Venky Sundaram, and Rao Tummala 3D

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information

Processes for Flexible Electronic Systems

Processes for Flexible Electronic Systems Processes for Flexible Electronic Systems Michael Feil Fraunhofer Institut feil@izm-m.fraunhofer.de Outline Introduction Single sheet versus reel-to-reel (R2R) Substrate materials R2R printing processes

More information

The Effects of PCB Fabrication on High-Frequency Electrical Performance

The Effects of PCB Fabrication on High-Frequency Electrical Performance As originally published in the IPC APEX EXPO Conference Proceedings. The Effects of PCB Fabrication on High-Frequency Electrical Performance John Coonrod, Rogers Corporation Advanced Circuit Materials

More information

EMDS for ADS Momentum

EMDS for ADS Momentum EMDS for ADS Momentum ADS User Group Meeting 2009, Böblingen, Germany Prof. Dr.-Ing. Frank Gustrau Gustrau, Dortmund User Group Meeting 2009-1 Univ. of Applied Sciences and Arts (FH Dortmund) Presentation

More information

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation Low Thermal Resistance Flip-Chip Bonding of 85nm -D VCSEL Arrays Capable of 1 Gbit/s/ch Operation Hendrik Roscher In 3, our well established technology of flip-chip mounted -D 85 nm backside-emitting VCSEL

More information

MICROSTRIP PHASE INVERTER USING INTERDIGI- TAL STRIP LINES AND DEFECTED GROUND

MICROSTRIP PHASE INVERTER USING INTERDIGI- TAL STRIP LINES AND DEFECTED GROUND Progress In Electromagnetics Research Letters, Vol. 29, 167 173, 212 MICROSTRIP PHASE INVERTER USING INTERDIGI- TAL STRIP LINES AND DEFECTED GROUND X.-C. Zhang 1, 2, *, C.-H. Liang 1, and J.-W. Xie 2 1

More information

Research Article Theoretical and Experimental Results of Substrate Effects on Microstrip Power Divider Designs

Research Article Theoretical and Experimental Results of Substrate Effects on Microstrip Power Divider Designs Microwave Science and Technology Volume 0, Article ID 98098, 9 pages doi:0.55/0/98098 Research Article Theoretical and Experimental Results of Substrate Effects on Microstrip Power Divider Designs Suhair

More information

Three Dimensional Transmission Lines and Power Divider Circuits

Three Dimensional Transmission Lines and Power Divider Circuits Three Dimensional Transmission Lines and Power Divider Circuits Ali Darwish*, Amin Ezzeddine** *American University in Cairo, P.O. Box 74 New Cairo 11835, Egypt. Telephone 20.2.2615.3057 adarwish@aucegypt.edu

More information

The Effects of PCB Fabrication on High-Frequency Electrical Performance

The Effects of PCB Fabrication on High-Frequency Electrical Performance The Effects of PCB Fabrication on High-Frequency Electrical Performance John Coonrod, Rogers Corporation Advanced Circuit Materials Division Achieving optimum high-frequency printed-circuit-board (PCB)

More information

High Frequency Single & Multi-chip Modules based on LCP Substrates

High Frequency Single & Multi-chip Modules based on LCP Substrates High Frequency Single & Multi-chip Modules based on Substrates Overview Labtech Microwave has produced modules for MMIC s (microwave monolithic integrated circuits) based on (liquid crystal polymer) substrates

More information

Application Bulletin 240

Application Bulletin 240 Application Bulletin 240 Design Consideration CUSTOM CAPABILITIES Standard PC board fabrication flexibility allows for various component orientations, mounting features, and interconnect schemes. The starting

More information

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 69 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array Roland Jäger and Christian Jung We have designed and fabricated

More information

MODIFIED MILLIMETER-WAVE WILKINSON POWER DIVIDER FOR ANTENNA FEEDING NETWORKS

MODIFIED MILLIMETER-WAVE WILKINSON POWER DIVIDER FOR ANTENNA FEEDING NETWORKS Progress In Electromagnetics Research Letters, Vol. 17, 11 18, 2010 MODIFIED MILLIMETER-WAVE WILKINSON POWER DIVIDER FOR ANTENNA FEEDING NETWORKS F. D. L. Peters, D. Hammou, S. O. Tatu, and T. A. Denidni

More information

Thin Film Resistor Integration into Flex-Boards

Thin Film Resistor Integration into Flex-Boards Thin Film Resistor Integration into Flex-Boards 7 rd International Workshop Flexible Electronic Systems November 29, 2006, Munich by Dr. Hans Burkard Hightec H MC AG, Lenzburg, Switzerland 1 Content HiCoFlex:

More information

Design and Analysis of Novel Compact Inductor Resonator Filter

Design and Analysis of Novel Compact Inductor Resonator Filter Design and Analysis of Novel Compact Inductor Resonator Filter Gye-An Lee 1, Mohamed Megahed 2, and Franco De Flaviis 1. 1 Department of Electrical and Computer Engineering University of California, Irvine

More information

A Broadband GCPW to Stripline Vertical Transition in LTCC

A Broadband GCPW to Stripline Vertical Transition in LTCC Progress In Electromagnetics Research Letters, Vol. 60, 17 21, 2016 A Broadband GCPW to Stripline Vertical Transition in LTCC Bo Zhang 1, *,DongLi 1, Weihong Liu 1,andLinDu 2 Abstract Vertical transition

More information

LOW LOSS FERROELECTRIC BASED PHASE SHIFTER FOR HIGH POWER ANTENNA SCAN BEAM SYSTEM

LOW LOSS FERROELECTRIC BASED PHASE SHIFTER FOR HIGH POWER ANTENNA SCAN BEAM SYSTEM LOW LOSS FERROELECTRIC BASED PHASE SHIFTER FOR HIGH POWER ANTENNA SCAN BEAM SYSTEM Franco De Flaviis and N.G. Alexopoulos University of California at Los Angeles, Dep. of Electrical Engineering Los Angeles

More information

MICROSTRIP ARRAY DOUBLE-ANTENNA (MADA) TECHNOLOGY APPLIED IN MILLIMETER WAVE COMPACT RADAR FRONT-END

MICROSTRIP ARRAY DOUBLE-ANTENNA (MADA) TECHNOLOGY APPLIED IN MILLIMETER WAVE COMPACT RADAR FRONT-END Progress In Electromagnetics Research, PIER 66, 125 136, 26 MICROSTRIP ARRAY DOUBLE-ANTENNA (MADA) TECHNOLOGY APPLIED IN MILLIMETER WAVE COMPACT RADAR FRONT-END B. Cui, C. Wang, and X.-W. Sun Shanghai

More information

Research Article High Efficiency and Broadband Microstrip Leaky-Wave Antenna

Research Article High Efficiency and Broadband Microstrip Leaky-Wave Antenna Active and Passive Electronic Components Volume 28, Article ID 42, pages doi:1./28/42 Research Article High Efficiency and Broadband Microstrip Leaky-Wave Antenna Onofrio Losito Department of Innovation

More information

DUAL-BAND LOW PROFILE DIRECTIONAL ANTENNA WITH HIGH IMPEDANCE SURFACE REFLECTOR

DUAL-BAND LOW PROFILE DIRECTIONAL ANTENNA WITH HIGH IMPEDANCE SURFACE REFLECTOR Progress In Electromagnetics Research Letters, Vol. 25, 67 75, 211 DUAL-BAND LOW PROFILE DIRECTIONAL ANTENNA WITH HIGH IMPEDANCE SURFACE REFLECTOR X. Mu *, W. Jiang, S.-X. Gong, and F.-W. Wang Science

More information

MAGNETO-DIELECTRIC COMPOSITES WITH FREQUENCY SELECTIVE SURFACE LAYERS

MAGNETO-DIELECTRIC COMPOSITES WITH FREQUENCY SELECTIVE SURFACE LAYERS MAGNETO-DIELECTRIC COMPOSITES WITH FREQUENCY SELECTIVE SURFACE LAYERS M. Hawley 1, S. Farhat 1, B. Shanker 2, L. Kempel 2 1 Dept. of Chemical Engineering and Materials Science, Michigan State University;

More information

Lines and Slotlines. Microstrip. Third Edition. Ramesh Garg. Inder Bahl. Maurizio Bozzi ARTECH HOUSE BOSTON LONDON. artechhouse.

Lines and Slotlines. Microstrip. Third Edition. Ramesh Garg. Inder Bahl. Maurizio Bozzi ARTECH HOUSE BOSTON LONDON. artechhouse. Microstrip Lines and Slotlines Third Edition Ramesh Garg Inder Bahl Maurizio Bozzi ARTECH HOUSE BOSTON LONDON artechhouse.com Contents Preface xi Microstrip Lines I: Quasi-Static Analyses, Dispersion Models,

More information

Inductor Modeling of Integrated Passive Device for RF Applications

Inductor Modeling of Integrated Passive Device for RF Applications Inductor Modeling of Integrated Passive Device for RF Applications Yuan-Chia Hsu Meng-Lieh Sheu Chip Implementation Center Department of Electrical Engineering 1F, No.1, Prosperity Road I, National Chi

More information

Characterization of Printed Circuit Board Material & Manufacturing Technology for High Frequency

Characterization of Printed Circuit Board Material & Manufacturing Technology for High Frequency As originally published in the IPC APEX EXPO Conference Proceedings. Characterization of Printed Circuit Board Material & Manufacturing Technology for High Frequency AT&S Leoben, Austria Oliver Huber 1,

More information

Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process

Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process H.Stieglauer 1, J.Nösser 1, A.Miller 1, M.Lanz 1, D.Öttlin 1, G.Jonsson 1, D.Behammer 1, C.Landesberger 2,

More information

Compact Distributed Phase Shifters at X-Band Using BST

Compact Distributed Phase Shifters at X-Band Using BST Integrated Ferroelectrics, 56: 1087 1095, 2003 Copyright C Taylor & Francis Inc. ISSN: 1058-4587 print/ 1607-8489 online DOI: 10.1080/10584580390259623 Compact Distributed Phase Shifters at X-Band Using

More information

Study of Microstrip Slotted Antenna for Bandwidth Enhancement

Study of Microstrip Slotted Antenna for Bandwidth Enhancement Global Journal of Researches in Engineering Electrical and Electronics Engineering Volume 2 Issue 9 Version. Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals Inc.

More information

A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW

A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW Progress In Electromagnetics Research Letters, Vol. 8, 151 159, 2009 A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW C.-P. Chang, C.-C. Su, S.-H. Hung, and Y.-H. Wang Institute of Microelectronics,

More information

3. Details on microwave PCB-materials like {ε r } etc. can be found in the Internet with Google for example: microwave laminates comparison.

3. Details on microwave PCB-materials like {ε r } etc. can be found in the Internet with Google for example: microwave laminates comparison. 1. Introduction 1. As widely known for microwave PCB-design it is essential to obey the electromagnetic laws. RF-impedance matching therefore is a must. For the following steps one of the following tools

More information

COMPACT PLANAR MICROSTRIP CROSSOVER FOR BEAMFORMING NETWORKS

COMPACT PLANAR MICROSTRIP CROSSOVER FOR BEAMFORMING NETWORKS Progress In Electromagnetics Research C, Vol. 33, 123 132, 2012 COMPACT PLANAR MICROSTRIP CROSSOVER FOR BEAMFORMING NETWORKS B. Henin * and A. Abbosh School of ITEE, The University of Queensland, QLD 4072,

More information

ULTRA LOW CAPACITANCE SCHOTTKY DIODES FOR MIXER AND MULTIPLIER APPLICATIONS TO 400 GHZ

ULTRA LOW CAPACITANCE SCHOTTKY DIODES FOR MIXER AND MULTIPLIER APPLICATIONS TO 400 GHZ ULTRA LOW CAPACITANCE SCHOTTKY DIODES FOR MIXER AND MULTIPLIER APPLICATIONS TO 400 GHZ Byron Alderman, Hosh Sanghera, Leo Bamber, Bertrand Thomas, David Matheson Abstract Space Science and Technology Department,

More information

Research Article Compact and Wideband Parallel-Strip 180 Hybrid Coupler with Arbitrary Power Division Ratios

Research Article Compact and Wideband Parallel-Strip 180 Hybrid Coupler with Arbitrary Power Division Ratios Microwave Science and Technology Volume 13, Article ID 56734, 1 pages http://dx.doi.org/1.1155/13/56734 Research Article Compact and Wideband Parallel-Strip 18 Hybrid Coupler with Arbitrary Power Division

More information

Lecture #3 Microstrip lines

Lecture #3 Microstrip lines November 2014 Ahmad El-Banna Benha University Faculty of Engineering at Shoubra Post-Graduate ECE-601 Active Circuits Lecture #3 Microstrip lines Instructor: Dr. Ahmad El-Banna Agenda Striplines Forward

More information

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING M Bartek 1, S M Sinaga 1, G Zilber 2, D Teomin 2, A Polyakov 1, J N Burghartz 1 1 Delft University of Technology, Lab of

More information

Mm-wave characterisation of printed circuit boards

Mm-wave characterisation of printed circuit boards Mm-wave characterisation of printed circuit boards Dmitry Zelenchuk 1, Vincent Fusco 1, George Goussetis 1, Antonio Mendez 2, David Linton 1 ECIT Research Institute: Queens University of Belfast, UK 1

More information

Impact of etch factor on characteristic impedance, crosstalk and board density

Impact of etch factor on characteristic impedance, crosstalk and board density IMAPS 2012 - San Diego, California, USA, 45th International Symposium on Microelectronics Impact of etch factor on characteristic impedance, crosstalk and board density Abdelghani Renbi, Arash Risseh,

More information

Chapter 11 Testing, Assembly, and Packaging

Chapter 11 Testing, Assembly, and Packaging Chapter 11 Testing, Assembly, and Packaging Professor Paul K. Chu Testing The finished wafer is put on a holder and aligned for testing under a microscope Each chip on the wafer is inspected by a multiple-point

More information

Foundations of Interconnect and Microstrip Design

Foundations of Interconnect and Microstrip Design Foundations of Interconnect and Microstrip Design Third Edition T. C. Edwards and M. B. Steer Engalco and University of Leeds, North Carolina State University JOHN WILEY & SONS Chichester. New York. Brisbane.

More information

A 30 GHz PLANAR ARRAY ANTENNA USING DIPOLE- COUPLED-LENS. Campus UAB, Bellaterra 08193, Barcelona, Spain

A 30 GHz PLANAR ARRAY ANTENNA USING DIPOLE- COUPLED-LENS. Campus UAB, Bellaterra 08193, Barcelona, Spain Progress In Electromagnetics Research Letters, Vol. 25, 31 36, 2011 A 30 GHz PLANAR ARRAY ANTENNA USING DIPOLE- COUPLED-LENS A. Colin 1, *, D. Ortiz 2, E. Villa 3, E. Artal 3, and E. Martínez- González

More information

A MODIFIED FRACTAL RECTANGULAR CURVE DIELECTRIC RESONATOR ANTENNA FOR WIMAX APPLICATION

A MODIFIED FRACTAL RECTANGULAR CURVE DIELECTRIC RESONATOR ANTENNA FOR WIMAX APPLICATION Progress In Electromagnetics Research C, Vol. 12, 37 51, 2010 A MODIFIED FRACTAL RECTANGULAR CURVE DIELECTRIC RESONATOR ANTENNA FOR WIMAX APPLICATION R. K. Gangwar and S. P. Singh Department of Electronics

More information

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction Manufacture and Performance of a Z-interconnect HDI Circuit Card Michael Rowlands, Rabindra Das, John Lauffer, Voya Markovich EI (Endicott Interconnect Technologies) 1093 Clark Street, Endicott, NY 13760

More information

Copyright 2001 IEEE. Reprinted from IEEE MTT-S International Microwave Symposium 2001

Copyright 2001 IEEE. Reprinted from IEEE MTT-S International Microwave Symposium 2001 Copyright 2001 IEEE Reprinted from IEEE MTT-S International Microwave Symposium 2001 This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information

THE PROBLEM of electromagnetic interference between

THE PROBLEM of electromagnetic interference between IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 50, NO. 2, MAY 2008 399 Estimation of Current Distribution on Multilayer Printed Circuit Board by Near-Field Measurement Qiang Chen, Member, IEEE,

More information

Improving Density in Microwave Multilayer Printed Circuit Boards for Space Applications

Improving Density in Microwave Multilayer Printed Circuit Boards for Space Applications Improving Density in Microwave Multilayer Printed Circuit Boards for Space Applications David NÉVO (1) Olivier VENDIER (1), Jean-Louis CAZAUX (1), Jean-Luc LORTAL (2) (1) Thales Alenia Space 26 avenue

More information

Vol. 58 No. 7. July MVP NI AWR Design Environment. Founded in 1958

Vol. 58 No. 7. July MVP NI AWR Design Environment. Founded in 1958 Vol. 58 No. 7 July 215.com MVP NI AWR Design Environment Founded in 1958 98 MICROWAVE JOURNAL JULY 215 Managing Circuit Materials at mmwave Frequencies John Coonrod Rogers Corp., Chandler, Ariz. This article

More information

Research Article A Reconfigurable Coplanar Waveguide Bowtie Antenna Using an Integrated Ferroelectric Thin-Film Varactor

Research Article A Reconfigurable Coplanar Waveguide Bowtie Antenna Using an Integrated Ferroelectric Thin-Film Varactor Antennas and Propagation Volume 212, Article ID 24919, 6 pages doi:1.1155/212/24919 Research Article A Reconfigurable Coplanar Waveguide Bowtie Antenna Using an Integrated Ferroelectric Thin-Film Varactor

More information

FEM SIMULATION FOR DESIGN AND EVALUATION OF AN EDDY CURRENT MICROSENSOR

FEM SIMULATION FOR DESIGN AND EVALUATION OF AN EDDY CURRENT MICROSENSOR FEM SIMULATION FOR DESIGN AND EVALUATION OF AN EDDY CURRENT MICROSENSOR Heri Iswahjudi and Hans H. Gatzen Institute for Microtechnology Hanover University Callinstrasse 30A, 30167 Hanover Germany E-mail:

More information

Advances in CO 2 -Laser Drilling of Glass Substrates

Advances in CO 2 -Laser Drilling of Glass Substrates Available online at www.sciencedirect.com Physics Procedia 39 (2012 ) 548 555 LANE 2012 Advances in CO 2 -Laser Drilling of Glass Substrates Lars Brusberg,a, Marco Queisser b, Clemens Gentsch b, Henning

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

MICROSTRIP AND WAVEGUIDE PASSIVE POWER LIMITERS WITH SIMPLIFIED CONSTRUCTION

MICROSTRIP AND WAVEGUIDE PASSIVE POWER LIMITERS WITH SIMPLIFIED CONSTRUCTION Journal of Microwaves and Optoelectronics, Vol. 1, No. 5, December 1999. 14 MICROSTRIP AND WAVEGUIDE PASSIVE POWER IMITERS WITH SIMPIFIED CONSTRUCTION Nikolai V. Drozdovski & ioudmila M. Drozdovskaia ECE

More information

WIDE-BAND circuits are now in demand as wide-band

WIDE-BAND circuits are now in demand as wide-band 704 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006 Compact Wide-Band Branch-Line Hybrids Young-Hoon Chun, Member, IEEE, and Jia-Sheng Hong, Senior Member, IEEE Abstract

More information

Optimized Micro-Via Technology for High Density and High Frequency (>40GHz) Hermetic Through-Wafer Connections in Silicon Substrates

Optimized Micro-Via Technology for High Density and High Frequency (>40GHz) Hermetic Through-Wafer Connections in Silicon Substrates Optimized Micro-Via Technology for High Density and High Frequency (>40GHz) Hermetic Through-Wafer Connections in Silicon Substrates Abstract We present the design, fabrication technology, and experimental

More information

Benchmarking of LTCC Circuits up to 40GHz and Comparison with EM Simulation

Benchmarking of LTCC Circuits up to 40GHz and Comparison with EM Simulation CARTS Europe 28 2-23 October Helsinki, Finland Benchmarking of LTCC Circuits up to 4GHz and Comparison with EM Simulation D.E.J. Humphrey, B.Verner, V. Napijalo TDK Electronics Ireland 322 Lake Drive,

More information

Analysis signal transitions characteristics of BGA-via multi-chip module Baolin Zhou1,a, Dejian Zhou1,b

Analysis signal transitions characteristics of BGA-via multi-chip module Baolin Zhou1,a, Dejian Zhou1,b 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Analysis signal transitions characteristics of BGA-via multi-chip module Baolin Zhou1,a, Dejian Zhou1,b 1 Electromechanical

More information

A MINIATURIZED LOWPASS/BANDPASS FILTER US- ING DOUBLE ARROW HEAD DEFECTED GROUND STRUCTURE WITH CENTERED ETCHED ELLIPSE

A MINIATURIZED LOWPASS/BANDPASS FILTER US- ING DOUBLE ARROW HEAD DEFECTED GROUND STRUCTURE WITH CENTERED ETCHED ELLIPSE Progress In Electromagnetics Research Letters, Vol. 24, 99 107, 2011 A MINIATURIZED LOWPASS/BANDPASS FILTER US- ING DOUBLE ARROW HEAD DEFECTED GROUND STRUCTURE WITH CENTERED ETCHED ELLIPSE M. H. Al Sharkawy

More information

SILICON BASED VERTICAL MICRO-COAXIAL TRAN- SITION FOR HIGH FREQUENCY PACKAGING TECH- NOLOGIES

SILICON BASED VERTICAL MICRO-COAXIAL TRAN- SITION FOR HIGH FREQUENCY PACKAGING TECH- NOLOGIES Progress In Electromagnetics Research B, Vol. 50, 1 17, 2013 SILICON BASED VERTICAL MICRO-COAXIAL TRAN- SITION FOR HIGH FREQUENCY PACKAGING TECH- NOLOGIES Justin Boone *, Subramanian Krishnan, and Shekhar

More information

B. Flip-Chip Technology

B. Flip-Chip Technology B. Flip-Chip Technology B1. Level 1. Introduction to Flip-Chip techniques B1.1 Why flip-chip? In the development of packaging of electronics the aim is to lower cost, increase the packaging density, improve

More information

NEW PACKAGING AND INTERCONNECT TECHNOLOGIES FOR ULTRA THIN CHIPS

NEW PACKAGING AND INTERCONNECT TECHNOLOGIES FOR ULTRA THIN CHIPS NEW PACKAGING AND INTERCONNECT TECHNOLOGIES FOR ULTRA THIN CHIPS Christine Kallmayer and Rolf Aschenbrenner Fraunhofer IZM Berlin, Germany kallmayer@izm.fhg.de Julian Haberland and Herbert Reichl Technical

More information

INF 5490 RF MEMS. LN12: RF MEMS inductors. Spring 2011, Oddvar Søråsen Department of informatics, UoO

INF 5490 RF MEMS. LN12: RF MEMS inductors. Spring 2011, Oddvar Søråsen Department of informatics, UoO INF 5490 RF MEMS LN12: RF MEMS inductors Spring 2011, Oddvar Søråsen Department of informatics, UoO 1 Today s lecture What is an inductor? MEMS -implemented inductors Modeling Different types of RF MEMS

More information

Design of an UHF RFID Antenna on Flexible Substrate Magnetically Coupled to the Tag

Design of an UHF RFID Antenna on Flexible Substrate Magnetically Coupled to the Tag Design of an UHF RFID Antenna on Flexible Substrate Magnetically Coupled to the Tag Marco Virili 1, Paolo Mezzanotte 1, Hendrik Rogier 2, Federico Alimenti 1, and Luca Roselli 1 1 Department of Electronic

More information

DESIGN AND DEVELOPMENT OF MICROSTRIP PATCH ANTENNA

DESIGN AND DEVELOPMENT OF MICROSTRIP PATCH ANTENNA DESIGN AND DEVELOPMENT OF MICROSTRIP PATCH ANTENNA ABSTRACT Aishwarya Sudarsan and Apeksha Prabhu Department of Electronics and Communication Engineering, NHCE, Bangalore, India A Microstrip Patch Antenna

More information

Microwave measurements for planar circuits and components: State of the art and future directions. Dr. Uwe Arz PTB

Microwave measurements for planar circuits and components: State of the art and future directions. Dr. Uwe Arz PTB Microwave measurements for planar circuits and components: State of the art and future directions Dr. Uwe Arz PTB Outline Previous work at PTB The EMPIR Initiative EMPIR Project 14IND02 PlanarCal 2 Why

More information

Slotline Leaky Wave Antenna with a Stacked Substrate

Slotline Leaky Wave Antenna with a Stacked Substrate Progress In Electromagnetics Research Symposium 26, Cambridge, USA, March 26-29 283 Slotline Leaky Wave Antenna with a Stacked Substrate J. Macháč, J. Hruška, and J. Zehentner Czech Technical University,

More information

Design and optimization of integrated transmission lines on scaled CMOS technologies

Design and optimization of integrated transmission lines on scaled CMOS technologies Design and optimization of integrated transmission lines on scaled CMOS technologies F. Vecchi 1,2, M. Repossi 3, W. Eyssa 1,2, P. Arcioni 1, F. Svelto 1 1 Dipartimento di Elettronica, Università di Pavia,

More information

Methodology for MMIC Layout Design

Methodology for MMIC Layout Design 17 Methodology for MMIC Layout Design Fatima Salete Correra 1 and Eduardo Amato Tolezani 2, 1 Laboratório de Microeletrônica da USP, Av. Prof. Luciano Gualberto, tr. 3, n.158, CEP 05508-970, São Paulo,

More information

74 Facta Universitatis ser.: Elec. and Energ. vol. 7, No.1 (1994) Fig. 1. Typical structures of microstrip-slotline transitions and equivalent uniplan

74 Facta Universitatis ser.: Elec. and Energ. vol. 7, No.1 (1994) Fig. 1. Typical structures of microstrip-slotline transitions and equivalent uniplan FACTA UNIVERSITATIS (NI»S) Series: Electronics and Energetics vol. 7, No. 1 (1994), 73-82 A NEW UNIPLANAR BALUN Velimir Trifunović and Branka Jokanović Abstract. A new uniplanar CPW-slotline double junction

More information

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology DEVELOPMENT OF A PHOTOSENSITIVE POLYIMIDE PROCESS William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology 1~BS TRACT A six step lithographic process has been developed

More information

Jae-Hyun Kim Boo-Gyoun Kim * Abstract

Jae-Hyun Kim Boo-Gyoun Kim * Abstract JOURNAL OF ELECTROMAGNETIC ENGINEERING AND SCIENCE, VOL. 18, NO. 2, 101~107, APR. 2018 https://doi.org/10.26866/jees.2018.18.2.101 ISSN 2234-8395 (Online) ISSN 2234-8409 (Print) Effect of Feed Substrate

More information

Custom MMIC Packaging Solutions for High Frequency Thermally Efficient Surface Mount Applications.

Custom MMIC Packaging Solutions for High Frequency Thermally Efficient Surface Mount Applications. Custom MMIC Packaging Solutions for High Frequency Thermally Efficient Surface Mount Applications. Steve Melvin Principal Engineer Teledyne-Labtech 8 Vincent Avenue, Crownhill, Milton Keynes, MK8 AB Tel

More information

Experimental and theoretical characterization of miniature coplanar waveguide shunt stubs patterned on the centre conductor

Experimental and theoretical characterization of miniature coplanar waveguide shunt stubs patterned on the centre conductor INT. J. ELECTRONICS, 1999, VOL. 86, NO. 9, 1123± 1134 Experimental and theoretical characterization of miniature coplanar waveguide shunt stubs patterned on the centre conductor K. HETTAK², A. OMAR*, N.

More information

FAQ: Microwave PCB Materials

FAQ: Microwave PCB Materials by John Coonrod Rogers Corporation column FAQ: Microwave PCB Materials The landscape of specialty materials changes so quickly that it can be hard for product developers to keep up. As a result, PCB designers

More information

Ultra-Wideband Coplanar-Fed Monopoles: A Comparative Study

Ultra-Wideband Coplanar-Fed Monopoles: A Comparative Study RADIOENGINEERING, VOL. 17, NO. 1, APRIL 2007 37 Ultra-Wideband Coplanar-Fed Monopoles: A Comparative Study Jana JILKOVÁ, Zbyněk RAIDA Dept. of Radio Electronics, Brno University of Technology, Purkyňova

More information

Features. = 25 C, IF = 3 GHz, LO = +16 dbm

Features. = 25 C, IF = 3 GHz, LO = +16 dbm mixers - i/q mixers / irm - CHIP Typical Applications This is ideal for: Point-to-Point Radios Test & Measurement Equipment SATCOM Radar Functional Diagram Features Wide IF Bandwidth: DC - 5 GHz High Image

More information

Conference Paper Cantilever Beam Metal-Contact MEMS Switch

Conference Paper Cantilever Beam Metal-Contact MEMS Switch Conference Papers in Engineering Volume 2013, Article ID 265709, 4 pages http://dx.doi.org/10.1155/2013/265709 Conference Paper Cantilever Beam Metal-Contact MEMS Switch Adel Saad Emhemmed and Abdulmagid

More information

Multimode Analysis of Transmission Lines and Substrates for (sub)mm-wave Calibration

Multimode Analysis of Transmission Lines and Substrates for (sub)mm-wave Calibration This is an author-created, un-copyedited version of the article M. Spirito, G. Gentile and A. Akhnoukh, "Multimode analysis of transmission lines and substrates for (sub)mm-wave calibration," which is

More information