SOFTWARE FOR PROJECT RELIABILITY ESTIMATION AND RISK EVALUATION

Size: px
Start display at page:

Download "SOFTWARE FOR PROJECT RELIABILITY ESTIMATION AND RISK EVALUATION"

Transcription

1 17 th Internatonal Conference on the Applcatons of Computer Scence and Mathematcs n Archtecture and Cvl Engneerng K. Gürlebec and C. Köne (eds.) Wemar, Germany, July 26 SOFTWARE FOR PROJECT RELIABILITY ESTIMATION AND RISK EVALUATION V.BERAN *, E. HROMADA * CTU Prague, Faculty of Cvl Engneerng Tháurova 7, Prague 6 E-mal:beran@fsv.cvut.cz Keywords: Smulaton, Tme schedulng, Cost schedulng, Relablty and Rs, Multdmensonal Analyss, Correlaton. Abstract. The contrbuton presents a model that s able to smulate constructon duraton and cost for a buldng project. Ths model predcts set of expected project costs and duraton schedule dependng on nput parameters such as producton speed, scope of wor, tme schedule, bondng condtons, maxmum and mnmum devatons from scope of wor and producton speed. Constructon cost and tme models are, n many ways, useful tools n project management. Clents are able to mae proper decsons about the tme and cost schedules of ther nvestments. Consequently, buldng contractors are able to schedule predcted project cost and duraton before any decson s fnalzed.

2 1 INTRODUCTION The smulaton of the constructon process (constructon actvtes on the bass of producton speed) maes t possble to montor the relablty of expected tme schedule and total cost dependng on such nput parameters as producton speed, scope of wor, tme schedule, bondng condtons, maxmum and mnmum devatons from scope of wor and producton speed. 2 FIELD OF APPLICATIONS The smulaton model s useful at many levels of project management. The possble felds of applcaton are shown n the table 1. Tab. 1 Felds of applcaton. Project phase User Feld of applcaton Clent Decsons about realzaton of ntentons. Preparaton phase Compettor Cost assessment and nference of bd prce. Submtter Buldng contractor Comparatve base. Dynamc schedule. Detaled calculaton of cost and tme schedule of constructon actvtes. Constructon phase Optmzaton of constructon process. Decrease of the number of clams among buldng contractor, buldng subcontractors and submtter. Buldng contractor & submtter Informaton source usable n realzaton of future projects. 3 METHODOLOGICAL AND CONCEPTUAL APPROACH The applcaton software carres out on the bass of producton speed, analyss of duraton and cost smulatons of constructon actvtes. On the bass of a statstcal evaluaton of enacted smulatons a program algorthm calculates the assumed cost and tme frames of partcular constructon actvtes. CONSTRUCTION DURATION + CONSTRUCTION COST + RISK OF CONSTRUCTION DURATION RISK OF CONSTRUCTION COST (CONTRACTOR, SUBCONTRACTOR) Fg. 1 Interactons between constructon duraton and constructon cost. 2

3 It s a general prncple that the nteractons between tme and cost create a postve dependence. Lengthenng or shortenng of constructon duraton leads to the ncreases of total constructon cost. The graphc n Fgure 1 llustrates the above statement. However there exst a lot of problems n applyng the determnaton of constructon duraton. There s a possble appearance of rss whch affect termnaton date of a buldng project, for example shortenng or lengthenng of constructon duraton ncurred by clmatc nfluences, dsorder n supples of materals and components, nadequaces ascertaned on acceptance of nput materals, etc. Seemngly elementary and transparent stuatons are further complcated n many practcal cases by the fact, that the bndngs between llustrated elements (Tme duraton, Cost) are able to change polarty over tme. It s lely to see a change to negatve ln from postve ln between elements Tme duraton and Cost. Hgher cost could objectvely negatvely affect constructon duraton. It deals wth a stuaton, n whch these s used a cost-expensve component wth a shorter tme schedule of wors on a buldng ste (prefabrcaton, n advance ready fabrcaton wors, etc.). In respect of the fact, that the mentoned stuaton s possble n all wors, the calculaton s very hghly burdened by these nfluences, whch are dffcult to manage by common calculaton methods (Beran, 22; Haas, 1981). 4 GENERAL PROBLEM FORMULATION The ntaton of the buldng project smulaton t s necessary to formulate the problem as such. The applcaton receves nput data through Module of nput data (see Table 6, 7, 8, 9), whch defnes partcular constructon actvtes (1), volume Q of these partcular constructon Tab. 2 Dynamc progress chart of buldng project. Actvty Scope Producton of wor speed Tme duraton Start End Ground wors Water connecton Sewerage connecton Electrcty connecton Bottom constructon Dumpng place Day Overhead constructon Roof Insde parget Facade renderng Completng wors Demands of sources through tme Q'(t) Total demands of sources through tme Q(t) Key: Noncrtcal actvty Crtcal actvty Total reserve Watng for actvty Watng for actvty - on crtcal way actvtes n physcal or fnancal unts, ts producton speed v and bondng condtons D connecton actvtes lnng partcular actvtes. TAB project characterzes the calculaton as a 3

4 meta problem called Dynamc Harmonogram (flow-sheet). N characterzes generally sequental networs N (Beran, 22). The set expresson s gven as TAB project = {N [D = f (Q rs, v rs, D connecton actvtes)], = 1,.. } (1) where are partal processes and D s as set of actvty duratons, rs nfluence s condtoned externalty (see Fg. 1). The notaton s completed wth condtonalty of breach of supposed nput parameters of scope of wor and producton speed. The Module of nput data s llustrated n the subchapter 8 SW support. A practcal soluton of the calculaton accordng to the dynamc progress chart (1) s based on the nput of wor volume, producton speed and a tme schedule of partcular actvtes. Tme duraton n the dynamc progress chart s calculated as the quotent of quanttes Q a v or more precsely D = Q /v. Input data ncluded n Module of nput data n sheet Connecton actvtes defne bondng condtons among partcular producton actvtes. The sheets called Devatons of project parameters 1 and 2 contan nput data about mnmum / maxmum devatons of scope of wor and producton speed of partcular actvtes based on expected parameters of the buldng process. 5 SOLUTION AND EXAMPLE On the bass of an ordnary Excel VBA applcaton the algorthm maes t possble to calculate an nstant dynamc progress chart of the buldng project that ncludes a tme schedule of resources. The dynamc progress chart s n terms of notaton (1) completed by Thousand EUR Tme duraton (worng days) Thousand EUR Tme duraton (worng days) Fg. 2 Requred cash flow of captal needed from the start to the end of constructon and cumulatve need of captal. 4

5 means of dfferences calculated on the bass of a common progress chart. Calculaton s based on producton speeds and ndvdual actvtes, whch are descrbed n columns Start and End (Tab. 2), whch represent bndngs between ndvdual actvtes. It factually represents relatons between declared functon f(q rs, v rs, D connecton actvtes) from notaton (1) and composton of tas as a consecutve process on the base of tme duraton of ndvdual processes N [D] (Beran, 25); (Beran, 22); (Heralová, 22). The dynamc progress chart creates a comprehensve methodcally unform model. Among the model s outputs belong nformaton about the start and end terms of producton actvtes and nformaton about cost schedules. The applcaton creates graphc vsualzaton of resources demand n tme see Fg. 2. The queston of contnuty of project realzaton s nterconnected wth cost-cuttng management measures of constructon cost. The varyng constructon speed evoes changes n constructon costs. The flow of constructon costs are a sgnfcant ndcator of economy of captal employment. Fg. 3 Example of 3D probablty bar chart as expresson on the bass of (1). 5

6 The descrbed calculaton and software applcaton s useful for evaluaton of bd proposals of nvestment projects. The approach carres out two dmensonal smulaton. The projects descrbed n propostons tme and costs, wll be labeled as a predefned project. The dscrete probablstc varables (T; C) obtan values (t ; c j ). We wll wrte P(T= t ; C= c j ) = p j. Fg. 4 Probablty 3D bar chart for constructon project wth fxed cost scope C. On ths bass of predefned projects data the addtve nput nformaton about mnmum and maxmum devatons (see subchapeter 8. Software Support). SW applcaton carres out smulaton of the presumed development of the examned constructon phase, the constructon project as a whole, or just to a set of constructon actvtes. It s possble to dentfy the effects of changes, read management changes, on the scope of partcular jobs (constructon actvtes) and ther probablty (relablty) of proposed (read contracted) fnshng deadlne t fn and proposed contractng lmt of cost c fn. In general we are loong for acceptable F(t fn ; c fn ) = t c P(T < tfn; C < c fn ) for chosen project actvtes A (2) or ther actvty sets A, A l, A x, functonalty-desgned nto networ. The results of partcular smulatons P(T; C) = P(smTAB project (T; C)) for example mentoned further s T <45;89> and C <2411;3131>. Smulaton data are contnuously recorded on the bass of (1), (Beran, 22). The smulaton s based on the tme schedule gven n Table 2. Obtanable s the rangng of 5 smulatons nto 3x3 categores. When a smulaton s fnshed, the recorded data serve as a bass for statstcal analyss of constructon processes. Data fle serves for fnal analyss and nter ala s the bass (2a) 6

7 for modfed 3D vsualzaton smlar to Fg. 3. The calculaton of expected or fxed probablty starts, ends and reserves gven are the results n Fg. 4 and Fg. 5. In Tab. 3 are structured data of the comprehensve smulaton example. The partcular ponts ate calculated as a constructon bd proposal descrbed underneath by smulaton study shows, how far the ntended fnshng date and cost are actually compettve and realstc. Fg. 5 Probablty 3D bar chart for constructon project wth fxed tme duraton T. P(T (45,89) ; C (2411,3131) ) = P(smTAB project (T; C)) = P (sm{n [D = f (Q rs, v rs, D connecton actvtes)], for subprojects or sub actvtes = 1,.. } ) (2b). The constructon project (Tománová, 23) s proposed n tme schedule and scope of wor as gven n 3D bar chart. The ellpse n Table 3 shows the shft of probablty n tme and costs. Usng ths approach t s possble to specfy more exactly the results of smulatons. Occurrence frequences of partcular scenaros of buldng project bd are comparable. The hghest values of smulaton frequences n 3D bar graph lead to probabltes of potental success scenaros for the constructon project. In ths case the buldng project wll be realzed wth satsfed commercal probablty wthn the range of 57 to 59 days and ts constructon cost s gven n the range of to thousand EUR. Wthn the framewor of smulaton of buldng project t s common that the calculaton fnds out the unque regular soluton. The example of 3D probablty bar chart wth unque regular soluton s shown n Fgure 3. 7

8 In case of complcated bondng condtons and other addtonal nterdependences among partcular actvtes, the soluton of the smulaton may not be unque. Tab. 3 The example of 3D bar chart, that llustrates the result of 5 smulatons. The Fgure 6 and the Fgure 6a present the buldng project; n whch nput parameters contan specfc nterdependence wthn the frst actvty (ground wors). Compare these results to Fg. 3. In the event that the frst actvty should tae more than 25 days, the buldng,9,8,7,6 Probablty,5,4,3,2,1, Tme duraton (Worng days) Constructon cost (Thousand EUR) Fg. 6 Example of 3D probablty bar chart wth heterogeneous solutons. 8

9 ground machnes must be wthout delay dslocated on another major actvty (another buldng project). Ths stuaton causes slppage of dates wthn the range of 21 days. After that perod ground wors could be resumed. Ths specfc condton s the cause of heterogeneous soluton of the smulaton. It s dffcult to fnd the soluton of ths buldng project by usng standard statstcal methods. It s convenent to tae advantage of vsualzaton technques and partcular smulaton calculatons. Fg. 6a Example of graph wth nterdependences among tme duraton and constructon cost. Important nformaton regardng the proposal of a future project tme schedule s specfed by tests of potental scenaros of the project development wth current fxng of certan parameters of the buldng organzatonal model. It s possble to obtan mportant nformaton about crtcal parameters of the planned project, for example by fxng of devatons wor of scope of wor of partcular actvtes see Q n notaton (1). It s common practce to present the probablty of the total constructon tme of a buldng project wthout a cost vewpont (Fg. 5). A better expressed project cost s presented as a respected fxed value that wll be stable and ndependent of project duraton. Addressng ths noton the proposed approach of smulaton of nterrelated values tme and cost n Fg. 4 s more comprehensble and complex as nformaton n Fg. 5 where T or as for calculaton wth fxed scope of wor T P(a T b) = F(t b ) - F(t a ) (3) P(45 T 89) = P(smTAB project (T) ) (3a). 9

10 A smlar stuaton develops f we fx alternaton of tme schedules for the project. Scope of wor gven as C s specfed as C P(x C y) = F(c y ) - F(c x ) for data smulated n Tab. 3 we dsplay n Fgure 6. C P(2411 C 3131) = P(smTAB project (C) ), for calculaton wth fxed tme raton (3c). In Fg. 6 we may follow changes of project cost for fxed on duraton of observed project. The expected tme duraton of total constructon project s gven by ts mean value E[T project C = const.] = t P( T = t ) = t (4) T Accordngly t s possble to quantfy expected scope of wor of total constructon project by ts mean value E[C project T = const.] = c P( C = c ) = c (5) C (3b) 6 THE SEARCH FOR RELIABLE CONSTRUCTION COST AND TIME DURATION The smulaton model s able to calculate, on the bass of nput level of probablty, the adequate constructon cost and tme duraton of a project. The recprocal vew attends to fndng out the adequate level of probablty for constructon cost and actvty duratons. There are two ways that lead to the calculaton of adequate level of probablty. The frst way conssts n fxaton of one varable parameter and nvestgaton of changes n remanng parameter. The second way conssts n smultaneous nvestgaton of devatons of both parameters. The approach used n ths paper s based on expresson (1) and Table 4 (dscreet probablty densty table) enables on data of Table 3 calculate the level of probablty as cumulatve densty functon F(T; C) = ( = t ; C = T C P T c ) (6), where t a c runs through the set of all possble values of T and C and T C P ( T = t ; C = c ) = 1 (6a). The Fg. 6b shows extracted data from expresson (6) or Table 4 as hstogram. On closer nvestgaton of results of partcular smulatons there was found a dependence between level of probablty and constructon cost and tme duraton. In the followng fgures are shown blateral nteractons of mentoned project parameters. 1

11 Tab. 4 Example of calculaton of level of Dscrete Probablty Dstrbuton (DPD).,12,1,8,6 Probablty,4, Scope of wor (Thousand EUR) Tme duraton (Worng days), Fg. 6b Bar chart 3D for DPD on level of probablty F(T; C) =,

12 7 PRESUMED DYNAMIC PROGRESS CHART Among nterpretve outputs of the applcaton software belongs the complaton of a presumed dynamc progress chart. Ths progress chart represents the expected scenaro of development of a buldng project wth the mappng of potental tme dslocatons for partcular actvtes. The calculaton of a presumed dynamc progress chart s based on an algorthm, whch calculates mean values as a partal result of the smulated buldng project. Tab. 5 Presumed dynamc progress chart of buldng project. Actvty Scope of wor Producton speed Tme Start End duraton Ground wors Water connecton Sewerage connecton Electrcty connecton Bottom constructon Dumpng place Overhead constructon Day , , Roof Insde parget Facade renderng Completng wors Demands of sources through tme Q'(t) Total demands of sources through tme Q(t) Key: Noncrtcal actvty Crtcal actvty Total reserve Watng for actvty Watng for actvty - on crtcal way Possble progresson of actvty The progress charts of buldng project are based on calculaton of mean values of start and end of project actvtes E(T; C) = E(45 T 89; 2411 C 3131). However the nformaton of start-rs and end-rs represents substantal nformaton calculated as followng varances where tt s correlaton ndex, 2 2 D(T) = tt = σ = ( t t ) P( T = ) t T t 2 2 D(C) = cc = σ = ( c c) P( C = ) c C c where cc s correlaton ndex. Further the correlaton ndex tc s generally gven as (7) (8) j n = when j = j (9) n n n3 1n 3n nn 12

13 For our example the correlaton ndex tc s gven as tt tc tc = = ct cc In the Tab. 5 are characterstcs of dsperson nterrelated to chart actvtes. More extensve explanatons are gven n Fgure 5a. 1,2 Tab. 5a Presumed dynamc progress chart of buldng project.,2 1 (1) The earlest possble start term of the project t 1 = 1 The probable start term of the project t 1 = 2 The probable end term of the frst actvty t 1 = 7 Actvty Scope of wor Producton speed Tme Start End duraton Ground wors Water connecton Sewerage connecton Electrcty connecton Bottom constructon Dumpng place Overhead constructon Day , ,7 The latest possble end term of the frst actvty t 1 = Roof Insde parget Facade renderng Completng wors The mean value of the sxth actvty E(; C) = E(2; 55) Demands of sources through tme Q'(t) Total demands of sources through tme Q(t) There are extensve possbltes of calculaton of correlatons of T and C calculated as normalzed values as j r j = (11). D T ] D[ C ] [ j 8 SOFTWARE SUPPORT Tab. 6 1 shows example of Module of nput data. The Basc page, Project parameters, Connecton actvtes, Devatons of project parameters. 9 CONCLUSION Ths model maes t possble to predct an expected project cost and duraton schedule dependng on nput parameters such as producton speed, scope of wor, tme schedule, bondng condtons, maxmum and mnmum devatons from scope of wor and producton speed. The useful results are rs evaluaton for the projects or for the project actvtes. 13

14 Tab. 6 Module of nput data Basc page Tab. 7 Module of nput data - Project parameters 14

15 Tab. 8 Module of nput data - Connecton actvtes Tab. 9 Module of nput data - Devatons of project parameters 15

16 REFERENCES [1] Beran V., Dlas P.: Management udržtelného rozvoje, Academa, Praha, 25. [2] Beran, V., Dlas, P., Heralová, R., Bera, V.: Dynamcý harmonogram, rozvrhování výroby do času, 1. vyd. Praha: Academa, s. ISBN [3] Dlas, P., Beran, V.: MDM 24 - teoretcá příruča, 1. vyd. Praha: Vydavatelství ČVUT, s. ISBN [4] Haas Š., Háje Vl.: Systémové plánování a řízení ve stavebnctví. SNTL Praha, [5] Heralová, R. - Frová, J. - Tománová, J.: Decson Mang and Bds n Constructon Industry. Integrated Rs Management. Berln: Humbolt Unverstät Berln, 22. [6] Tománová, J.: Plannng n Project Management. Proceedngs of Worshop 23. Prague: CTU, 23, vol. B, 1194 s. ISBN

Uncertainty in measurements of power and energy on power networks

Uncertainty in measurements of power and energy on power networks Uncertanty n measurements of power and energy on power networks E. Manov, N. Kolev Department of Measurement and Instrumentaton, Techncal Unversty Sofa, bul. Klment Ohrdsk No8, bl., 000 Sofa, Bulgara Tel./fax:

More information

MTBF PREDICTION REPORT

MTBF PREDICTION REPORT MTBF PREDICTION REPORT PRODUCT NAME: BLE112-A-V2 Issued date: 01-23-2015 Rev:1.0 Copyrght@2015 Bluegga Technologes. All rghts reserved. 1 MTBF PREDICTION REPORT... 1 PRODUCT NAME: BLE112-A-V2... 1 1.0

More information

Control Chart. Control Chart - history. Process in control. Developed in 1920 s. By Dr. Walter A. Shewhart

Control Chart. Control Chart - history. Process in control. Developed in 1920 s. By Dr. Walter A. Shewhart Control Chart - hstory Control Chart Developed n 920 s By Dr. Walter A. Shewhart 2 Process n control A phenomenon s sad to be controlled when, through the use of past experence, we can predct, at least

More information

Calculation of the received voltage due to the radiation from multiple co-frequency sources

Calculation of the received voltage due to the radiation from multiple co-frequency sources Rec. ITU-R SM.1271-0 1 RECOMMENDATION ITU-R SM.1271-0 * EFFICIENT SPECTRUM UTILIZATION USING PROBABILISTIC METHODS Rec. ITU-R SM.1271 (1997) The ITU Radocommuncaton Assembly, consderng a) that communcatons

More information

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b 2nd Internatonal Conference on Computer Engneerng, Informaton Scence & Applcaton Technology (ICCIA 207) Research of Dspatchng Method n Elevator Group Control System Based on Fuzzy Neural Network Yufeng

More information

Prevention of Sequential Message Loss in CAN Systems

Prevention of Sequential Message Loss in CAN Systems Preventon of Sequental Message Loss n CAN Systems Shengbng Jang Electrcal & Controls Integraton Lab GM R&D Center, MC: 480-106-390 30500 Mound Road, Warren, MI 48090 shengbng.jang@gm.com Ratnesh Kumar

More information

ANNUAL OF NAVIGATION 11/2006

ANNUAL OF NAVIGATION 11/2006 ANNUAL OF NAVIGATION 11/2006 TOMASZ PRACZYK Naval Unversty of Gdyna A FEEDFORWARD LINEAR NEURAL NETWORK WITH HEBBA SELFORGANIZATION IN RADAR IMAGE COMPRESSION ABSTRACT The artcle presents the applcaton

More information

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol., No., November 23, 3-9 Rejecton of PSK Interference n DS-SS/PSK System Usng Adaptve Transversal Flter wth Condtonal Response Recalculaton Zorca Nkolć, Bojan

More information

PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION. Evgeny Artyomov and Orly Yadid-Pecht

PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION. Evgeny Artyomov and Orly Yadid-Pecht 68 Internatonal Journal "Informaton Theores & Applcatons" Vol.11 PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION Evgeny Artyomov and Orly

More information

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University Dynamc Optmzaton Assgnment 1 Sasanka Nagavall snagaval@andrew.cmu.edu 16-745 January 29, 213 Robotcs Insttute Carnege Mellon Unversty Table of Contents 1. Problem and Approach... 1 2. Optmzaton wthout

More information

Analysis of Time Delays in Synchronous and. Asynchronous Control Loops. Bj rn Wittenmark, Ben Bastian, and Johan Nilsson

Analysis of Time Delays in Synchronous and. Asynchronous Control Loops. Bj rn Wittenmark, Ben Bastian, and Johan Nilsson 37th CDC, Tampa, December 1998 Analyss of Delays n Synchronous and Asynchronous Control Loops Bj rn Wttenmark, Ben Bastan, and Johan Nlsson emal: bjorn@control.lth.se, ben@control.lth.se, and johan@control.lth.se

More information

Application of Intelligent Voltage Control System to Korean Power Systems

Application of Intelligent Voltage Control System to Korean Power Systems Applcaton of Intellgent Voltage Control System to Korean Power Systems WonKun Yu a,1 and HeungJae Lee b, *,2 a Department of Power System, Seol Unversty, South Korea. b Department of Power System, Kwangwoon

More information

Graph Method for Solving Switched Capacitors Circuits

Graph Method for Solving Switched Capacitors Circuits Recent Advances n rcuts, ystems, gnal and Telecommuncatons Graph Method for olvng wtched apactors rcuts BHUMIL BRTNÍ Department of lectroncs and Informatcs ollege of Polytechncs Jhlava Tolstého 6, 586

More information

A Simple Satellite Exclusion Algorithm for Advanced RAIM

A Simple Satellite Exclusion Algorithm for Advanced RAIM A Smple Satellte Excluson Algorthm for Advanced RAIM Juan Blanch, Todd Walter, Per Enge Stanford Unversty ABSTRACT Advanced Recever Autonomous Integrty Montorng s a concept that extends RAIM to mult-constellaton

More information

A study of turbo codes for multilevel modulations in Gaussian and mobile channels

A study of turbo codes for multilevel modulations in Gaussian and mobile channels A study of turbo codes for multlevel modulatons n Gaussan and moble channels Lamne Sylla and Paul Forter (sylla, forter)@gel.ulaval.ca Department of Electrcal and Computer Engneerng Laval Unversty, Ste-Foy,

More information

Safety and resilience of Global Baltic Network of Critical Infrastructure Networks related to cascading effects

Safety and resilience of Global Baltic Network of Critical Infrastructure Networks related to cascading effects Blokus-Roszkowska Agneszka Dzula Przemysław Journal of Polsh afety and Relablty Assocaton ummer afety and Relablty emnars, Volume 9, Number, Kołowrock Krzysztof Gdyna Martme Unversty, Gdyna, Poland afety

More information

High Speed, Low Power And Area Efficient Carry-Select Adder

High Speed, Low Power And Area Efficient Carry-Select Adder Internatonal Journal of Scence, Engneerng and Technology Research (IJSETR), Volume 5, Issue 3, March 2016 Hgh Speed, Low Power And Area Effcent Carry-Select Adder Nelant Harsh M.tech.VLSI Desgn Electroncs

More information

Fast Code Detection Using High Speed Time Delay Neural Networks

Fast Code Detection Using High Speed Time Delay Neural Networks Fast Code Detecton Usng Hgh Speed Tme Delay Neural Networks Hazem M. El-Bakry 1 and Nkos Mastoraks 1 Faculty of Computer Scence & Informaton Systems, Mansoura Unversty, Egypt helbakry0@yahoo.com Department

More information

White Paper. OptiRamp Model-Based Multivariable Predictive Control. Advanced Methodology for Intelligent Control Actions

White Paper. OptiRamp Model-Based Multivariable Predictive Control. Advanced Methodology for Intelligent Control Actions Whte Paper OptRamp Model-Based Multvarable Predctve Control Advanced Methodology for Intellgent Control Actons Vadm Shapro Dmtry Khots, Ph.D. Statstcs & Control, Inc., (S&C) propretary nformaton. All rghts

More information

Review: Our Approach 2. CSC310 Information Theory

Review: Our Approach 2. CSC310 Information Theory CSC30 Informaton Theory Sam Rowes Lecture 3: Provng the Kraft-McMllan Inequaltes September 8, 6 Revew: Our Approach The study of both compresson and transmsson requres that we abstract data and messages

More information

Probabilistic Graphical Model based Personal Route Prediction in Mobile Environment

Probabilistic Graphical Model based Personal Route Prediction in Mobile Environment Appl. Math. Inf. Sc. 6 No. 2S pp. 651S-659S (2012) Appled Mathematcs & Informaton Scences An Internatonal Journal @ 2012 NSP Natural Scences Publshng Cor. Probablstc Graphcal Model based Personal Route

More information

UNIT 11 TWO-PERSON ZERO-SUM GAMES WITH SADDLE POINT

UNIT 11 TWO-PERSON ZERO-SUM GAMES WITH SADDLE POINT UNIT TWO-PERSON ZERO-SUM GAMES WITH SADDLE POINT Structure. Introducton Obectves. Key Terms Used n Game Theory.3 The Maxmn-Mnmax Prncple.4 Summary.5 Solutons/Answers. INTRODUCTION In Game Theory, the word

More information

A Fuzzy-based Routing Strategy for Multihop Cognitive Radio Networks

A Fuzzy-based Routing Strategy for Multihop Cognitive Radio Networks 74 Internatonal Journal of Communcaton Networks and Informaton Securty (IJCNIS) Vol. 3, No., Aprl 0 A Fuzzy-based Routng Strategy for Multhop Cogntve Rado Networks Al El Masr, Naceur Malouch and Hcham

More information

A TWO-PLAYER MODEL FOR THE SIMULTANEOUS LOCATION OF FRANCHISING SERVICES WITH PREFERENTIAL RIGHTS

A TWO-PLAYER MODEL FOR THE SIMULTANEOUS LOCATION OF FRANCHISING SERVICES WITH PREFERENTIAL RIGHTS A TWO-PLAYER MODEL FOR THE SIMULTANEOUS LOCATION OF FRANCHISING SERVICES WITH PREFERENTIAL RIGHTS Pedro Godnho and oana Das Faculdade de Economa and GEMF Unversdade de Combra Av. Das da Slva 65 3004-5

More information

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel To: Professor Avtable Date: February 4, 3 From: Mechancal Student Subject:.3 Experment # Numercal Methods Usng Excel Introducton Mcrosoft Excel s a spreadsheet program that can be used for data analyss,

More information

antenna antenna (4.139)

antenna antenna (4.139) .6.6 The Lmts of Usable Input Levels for LNAs The sgnal voltage level delvered to the nput of an LNA from the antenna may vary n a very wde nterval, from very weak sgnals comparable to the nose level,

More information

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS INTRODUCTION Because dgtal sgnal rates n computng systems are ncreasng at an astonshng rate, sgnal ntegrty ssues have become far more mportant to

More information

Topology Control for C-RAN Architecture Based on Complex Network

Topology Control for C-RAN Architecture Based on Complex Network Topology Control for C-RAN Archtecture Based on Complex Network Zhanun Lu, Yung He, Yunpeng L, Zhaoy L, Ka Dng Chongqng key laboratory of moble communcatons technology Chongqng unversty of post and telecommuncaton

More information

ISSN: (p); (e) DEVELOPMENT OF FUZZY IX-MR CONTROL CHART USING FUZZY MODE AND FUZZY RULES APPROACHES

ISSN: (p); (e) DEVELOPMENT OF FUZZY IX-MR CONTROL CHART USING FUZZY MODE AND FUZZY RULES APPROACHES DEVELOPMENT OF FUZZY IX-MR CONTROL CHART USING FUZZY MODE AND FUZZY RULES APPROACHES Azam Morad Tad, Soroush Avakh Darestan 2* Department of Industral Engneerng, Scence and Research Branch, Islamc Azad

More information

Control of Chaos in Positive Output Luo Converter by means of Time Delay Feedback

Control of Chaos in Positive Output Luo Converter by means of Time Delay Feedback Control of Chaos n Postve Output Luo Converter by means of Tme Delay Feedback Nagulapat nkran.ped@gmal.com Abstract Faster development n Dc to Dc converter technques are undergong very drastc changes due

More information

Hard Real-Time Scheduling for Low-Energy Using Stochastic Data and DVS Processors

Hard Real-Time Scheduling for Low-Energy Using Stochastic Data and DVS Processors Hard Real-me Schedulng for Low-Energy Usng Stochastc Data and DVS Processors Flavus Gruan Department of Computer Scence, Lund Unversty Box 118 S-221 00 Lund, Sweden el.: +46 046 2224673 e-mal: Flavus.Gruan@cs.lth.se

More information

Space Time Equalization-space time codes System Model for STCM

Space Time Equalization-space time codes System Model for STCM Space Tme Eualzaton-space tme codes System Model for STCM The system under consderaton conssts of ST encoder, fadng channel model wth AWGN, two transmt antennas, one receve antenna, Vterb eualzer wth deal

More information

DETERMINATION OF WIND SPEED PROFILE PARAMETERS IN THE SURFACE LAYER USING A MINI-SODAR

DETERMINATION OF WIND SPEED PROFILE PARAMETERS IN THE SURFACE LAYER USING A MINI-SODAR DETERMINATION OF WIND SPEED PROFILE PARAMETERS IN THE SURFACE LAYER USING A MINI-SODAR A. Coppalle, M. Talbaut and F. Corbn UMR 6614 CORIA, Sant Etenne du Rouvray, France INTRODUCTION Recent mprovements

More information

Algorithms Airline Scheduling. Airline Scheduling. Design and Analysis of Algorithms Andrei Bulatov

Algorithms Airline Scheduling. Airline Scheduling. Design and Analysis of Algorithms Andrei Bulatov Algorthms Arlne Schedulng Arlne Schedulng Desgn and Analyss of Algorthms Andre Bulatov Algorthms Arlne Schedulng 11-2 The Problem An arlne carrer wants to serve certan set of flghts Example: Boston (6

More information

EE 508 Lecture 6. Degrees of Freedom The Approximation Problem

EE 508 Lecture 6. Degrees of Freedom The Approximation Problem EE 508 Lecture 6 Degrees of Freedom The Approxmaton Problem Revew from Last Tme Desgn Strategy Theorem: A crcut wth transfer functon T(s) can be obtaned from a crcut wth normalzed transfer functon T n

More information

Methods for Preventing Voltage Collapse

Methods for Preventing Voltage Collapse Methods for Preventng Voltage Collapse Cláuda Res 1, Antóno Andrade 2, and F. P. Macel Barbosa 3 1 Telecommuncatons Insttute of Avero Unversty, Unversty Campus of Avero, Portugal cres@av.t.pt 2 Insttute

More information

Digital Transmission

Digital Transmission Dgtal Transmsson Most modern communcaton systems are dgtal, meanng that the transmtted normaton sgnal carres bts and symbols rather than an analog sgnal. The eect o C/N rato ncrease or decrease on dgtal

More information

Adaptive Modulation for Multiple Antenna Channels

Adaptive Modulation for Multiple Antenna Channels Adaptve Modulaton for Multple Antenna Channels June Chul Roh and Bhaskar D. Rao Department of Electrcal and Computer Engneerng Unversty of Calforna, San Dego La Jolla, CA 993-7 E-mal: jroh@ece.ucsd.edu,

More information

@IJMTER-2015, All rights Reserved 383

@IJMTER-2015, All rights Reserved 383 SIL of a Safety Fuzzy Logc Controller 1oo usng Fault Tree Analyss (FAT and realablty Block agram (RB r.-ing Mohammed Bsss 1, Fatma Ezzahra Nadr, Prof. Amam Benassa 3 1,,3 Faculty of Scence and Technology,

More information

Ths materal s publshed n the open archve of Md Sweden Unversty DIVA http://mun.dva-portal.org to ensure tmely dssemnaton of scholarly and techncal work. Copyrght and all rghts theren are retaned by authors

More information

熊本大学学術リポジトリ. Kumamoto University Repositor

熊本大学学術リポジトリ. Kumamoto University Repositor 熊本大学学術リポジトリ Kumamoto Unversty Repostor Ttle Wreless LAN Based Indoor Poston and Its Smulaton Author(s) Ktasuka, Teruak; Nakansh, Tsune CtatonIEEE Pacfc RIM Conference on Comm Computers, and Sgnal Processng

More information

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate Comparatve Analyss of Reuse and 3 n ular Network Based On IR Dstrbuton and Rate Chandra Thapa M.Tech. II, DEC V College of Engneerng & Technology R.V.. Nagar, Chttoor-5727, A.P. Inda Emal: chandra2thapa@gmal.com

More information

Mooring Cost Sensitivity Study Based on Cost-Optimum Mooring Design

Mooring Cost Sensitivity Study Based on Cost-Optimum Mooring Design Proceedngs of Conference 8 Korean Socety of Ocean Engneers May 9-3, Cheju, Korea Moorng Cost Senstvty Study Based on Cost-Optmum Moorng Desgn SAM SANGSOO RYU, CASPAR HEYL AND ARUN DUGGAL Research & Development,

More information

A NSGA-II algorithm to solve a bi-objective optimization of the redundancy allocation problem for series-parallel systems

A NSGA-II algorithm to solve a bi-objective optimization of the redundancy allocation problem for series-parallel systems 0 nd Internatonal Conference on Industral Technology and Management (ICITM 0) IPCSIT vol. 49 (0) (0) IACSIT Press, Sngapore DOI: 0.776/IPCSIT.0.V49.8 A NSGA-II algorthm to solve a b-obectve optmzaton of

More information

Priority based Dynamic Multiple Robot Path Planning

Priority based Dynamic Multiple Robot Path Planning 2nd Internatonal Conference on Autonomous obots and Agents Prorty based Dynamc Multple obot Path Plannng Abstract Taxong Zheng Department of Automaton Chongqng Unversty of Post and Telecommuncaton, Chna

More information

HUAWEI TECHNOLOGIES CO., LTD. Huawei Proprietary Page 1

HUAWEI TECHNOLOGIES CO., LTD. Huawei Proprietary Page 1 Project Ttle Date Submtted IEEE 802.16 Broadband Wreless Access Workng Group Double-Stage DL MU-MIMO Scheme 2008-05-05 Source(s) Yang Tang, Young Hoon Kwon, Yajun Kou, Shahab Sanaye,

More information

Performance Analysis of the Weighted Window CFAR Algorithms

Performance Analysis of the Weighted Window CFAR Algorithms Performance Analyss of the Weghted Wndow CFAR Algorthms eng Xangwe Guan Jan He You Department of Electronc Engneerng, Naval Aeronautcal Engneerng Academy, Er a road 88, Yanta Cty 6400, Shandong Provnce,

More information

current activity shows on the top right corner in green. The steps appear in yellow

current activity shows on the top right corner in green. The steps appear in yellow Browzwear Tutorals Tutoral ntroducton Ths tutoral leads you through the basc garment creaton process usng an llustrated step by step approach. Each slde shows the actual applcaton at the stage of the acton

More information

Customer witness testing guide

Customer witness testing guide Customer wtness testng gude Ths gude s amed at explanng why we need to wtness test equpment whch s beng connected to our network, what we actually do when we complete ths testng, and what you can do to

More information

Generator of Time Series of Rain Attenuation: Results of Parameter Extraction

Generator of Time Series of Rain Attenuation: Results of Parameter Extraction 32 M. GRÁBNER U.-. FIEBIG V. KVIERA GENERATOR OF TIME SERIES OF RAIN ATTENUATION: RESULTS... Generator of Tme Seres of Ran Attenuaton: Results of Parameter Extracton Martn GRÁBNER 1 Uwe-arsten FIEBIG 2

More information

RC Filters TEP Related Topics Principle Equipment

RC Filters TEP Related Topics Principle Equipment RC Flters TEP Related Topcs Hgh-pass, low-pass, Wen-Robnson brdge, parallel-t flters, dfferentatng network, ntegratng network, step response, square wave, transfer functon. Prncple Resstor-Capactor (RC)

More information

1 GSW Multipath Channel Models

1 GSW Multipath Channel Models In the general case, the moble rado channel s pretty unpleasant: there are a lot of echoes dstortng the receved sgnal, and the mpulse response keeps changng. Fortunately, there are some smplfyng assumptons

More information

Time-frequency Analysis Based State Diagnosis of Transformers Windings under the Short-Circuit Shock

Time-frequency Analysis Based State Diagnosis of Transformers Windings under the Short-Circuit Shock Tme-frequency Analyss Based State Dagnoss of Transformers Wndngs under the Short-Crcut Shock YUYING SHAO, ZHUSHI RAO School of Mechancal Engneerng ZHIJIAN JIN Hgh Voltage Lab Shangha Jao Tong Unversty

More information

Weighted Penalty Model for Content Balancing in CATS

Weighted Penalty Model for Content Balancing in CATS Weghted Penalty Model for Content Balancng n CATS Chngwe Davd Shn Yuehme Chen Walter Denny Way Len Swanson Aprl 2009 Usng assessment and research to promote learnng WPM for CAT Content Balancng 2 Abstract

More information

A Current Differential Line Protection Using a Synchronous Reference Frame Approach

A Current Differential Line Protection Using a Synchronous Reference Frame Approach A Current Dfferental Lne rotecton Usng a Synchronous Reference Frame Approach L. Sousa Martns *, Carlos Fortunato *, and V.Fernão res * * Escola Sup. Tecnologa Setúbal / Inst. oltécnco Setúbal, Setúbal,

More information

Research on Peak-detection Algorithm for High-precision Demodulation System of Fiber Bragg Grating

Research on Peak-detection Algorithm for High-precision Demodulation System of Fiber Bragg Grating , pp. 337-344 http://dx.do.org/10.1457/jht.014.7.6.9 Research on Peak-detecton Algorthm for Hgh-precson Demodulaton System of Fber ragg Gratng Peng Wang 1, *, Xu Han 1, Smn Guan 1, Hong Zhao and Mngle

More information

EMA. Education Maintenance Allowance (EMA) Financial Details Form 2017/18. student finance wales cyllid myfyrwyr cymru.

EMA. Education Maintenance Allowance (EMA) Financial Details Form 2017/18. student finance wales cyllid myfyrwyr cymru. student fnance wales cylld myfyrwyr cymru Educaton Mantenance Allowance (EMA) Fnancal Detals Form 2017/18 sound advce on STUDENT FINANCE EMA Educaton Mantenance Allowance (EMA) 2017/18 /A How to complete

More information

Optimization Process for Berth and Quay-Crane Assignment in Container Terminals with Separate Piers. By Neven Grubisic Livia Maglic

Optimization Process for Berth and Quay-Crane Assignment in Container Terminals with Separate Piers. By Neven Grubisic Livia Maglic Athens Journal of Technology and Engneerng March 2018 Optmzaton Process for Berth and Quay-Crane Assgnment n Contaner Termnals wth Separate Pers By Neven Grubsc Lva Maglc The objectve of ths research s

More information

The Performance Improvement of BASK System for Giga-Bit MODEM Using the Fuzzy System

The Performance Improvement of BASK System for Giga-Bit MODEM Using the Fuzzy System Int. J. Communcatons, Network and System Scences, 10, 3, 1-5 do:10.36/jcns.10.358 Publshed Onlne May 10 (http://www.scrp.org/journal/jcns/) The Performance Improvement of BASK System for Gga-Bt MODEM Usng

More information

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985 NATONAL RADO ASTRONOMY OBSERVATORY Green Bank, West Vrgna SPECTRAL PROCESSOR MEMO NO. 25 MEMORANDUM February 13, 1985 To: Spectral Processor Group From: R. Fsher Subj: Some Experments wth an nteger FFT

More information

Utility-based Routing

Utility-based Routing Utlty-based Routng Je Wu Dept. of Computer and Informaton Scences Temple Unversty Roadmap Introducton Why Another Routng Scheme Utlty-Based Routng Implementatons Extensons Some Fnal Thoughts 2 . Introducton

More information

Parameter Free Iterative Decoding Metrics for Non-Coherent Orthogonal Modulation

Parameter Free Iterative Decoding Metrics for Non-Coherent Orthogonal Modulation 1 Parameter Free Iteratve Decodng Metrcs for Non-Coherent Orthogonal Modulaton Albert Gullén Fàbregas and Alex Grant Abstract We study decoder metrcs suted for teratve decodng of non-coherently detected

More information

Two-Phase Asynchronous Motor - Simulation and Measurement

Two-Phase Asynchronous Motor - Simulation and Measurement Zeszyty problemowe Maszyny Elektryczne Nr 1/13 cz. II 5 Želmíra Ferková, Ján Kaňuch Techncal Unversty of Košce Two-Phase Asynchronous Motor - Smulaton and Measurement Abstract: The paper addresses modellng

More information

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES IEE Electroncs Letters, vol 34, no 17, August 1998, pp. 1622-1624. ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES A. Chatzgeorgou, S. Nkolads 1 and I. Tsoukalas Computer Scence Department, 1 Department

More information

NETWORK 2001 Transportation Planning Under Multiple Objectives

NETWORK 2001 Transportation Planning Under Multiple Objectives NETWORK 200 Transportaton Plannng Under Multple Objectves Woodam Chung Graduate Research Assstant, Department of Forest Engneerng, Oregon State Unversty, Corvalls, OR9733, Tel: (54) 737-4952, Fax: (54)

More information

D-STATCOM Optimal Allocation Based On Investment Decision Theory

D-STATCOM Optimal Allocation Based On Investment Decision Theory Internatonal Conference on Computer Engneerng, Informaton Scence & Applcaton Technology (ICCIA 2016) D-STATCOM Optmal Allocaton Based On Investment Decson Theory Yongjun Zhang1, a, Yfu Mo1, b and Huazhen

More information

Machine Learning in Production Systems Design Using Genetic Algorithms

Machine Learning in Production Systems Design Using Genetic Algorithms Internatonal Journal of Computatonal Intellgence Volume 4 Number 1 achne Learnng n Producton Systems Desgn Usng Genetc Algorthms Abu Quder Jaber, Yamamoto Hdehko and Rzauddn Raml Abstract To create a soluton

More information

Yield Optimisation of Power-On Reset Cells and Functional Verification

Yield Optimisation of Power-On Reset Cells and Functional Verification Yeld Optmsaton of Power-On Reset Cells and Functonal Verfcaton Dpl.-Ing. Olver Esenberger, Dpl.-Ing. Dr. Gerhard Rapptsch, Dpl.-Ing. Stefan Schneder Dpl.-Ing. Dr. Bernd Obermeer*, Dpl.-Ing. Dpl.-Wrtsch.-Ing.

More information

Sensors for Motion and Position Measurement

Sensors for Motion and Position Measurement Sensors for Moton and Poston Measurement Introducton An ntegrated manufacturng envronment conssts of 5 elements:- - Machne tools - Inspecton devces - Materal handlng devces - Packagng machnes - Area where

More information

MODEL ORDER REDUCTION AND CONTROLLER DESIGN OF DISCRETE SYSTEM EMPLOYING REAL CODED GENETIC ALGORITHM J. S. Yadav, N. P. Patidar, J.

MODEL ORDER REDUCTION AND CONTROLLER DESIGN OF DISCRETE SYSTEM EMPLOYING REAL CODED GENETIC ALGORITHM J. S. Yadav, N. P. Patidar, J. ABSTRACT Research Artcle MODEL ORDER REDUCTION AND CONTROLLER DESIGN OF DISCRETE SYSTEM EMPLOYING REAL CODED GENETIC ALGORITHM J. S. Yadav, N. P. Patdar, J. Sngha Address for Correspondence Maulana Azad

More information

Distributed Fault Detection of Wireless Sensor Networks

Distributed Fault Detection of Wireless Sensor Networks Dstrbuted Fault Detecton of Wreless Sensor Networs Jnran Chen, Shubha Kher, and Arun Soman Dependable Computng and Networng Lab Iowa State Unversty Ames, Iowa 50010 {jrchen, shubha, arun}@astate.edu ABSTRACT

More information

A novel immune genetic algorithm based on quasi-secondary response

A novel immune genetic algorithm based on quasi-secondary response 12th AIAA/ISSMO Multdscplnary Analyss and Optmzaton Conference 10-12 September 2008, Vctora, Brtsh Columba Canada AIAA 2008-5919 A novel mmune genetc algorthm based on quas-secondary response Langyu Zhao

More information

The Application of GIS and Diversity Combining in Designing of Wireless Communication Systems in the Presence of Fading

The Application of GIS and Diversity Combining in Designing of Wireless Communication Systems in the Presence of Fading Recent Advances n Geodesy and Geomatcs Engneerng The Applcaton of GIS and Dversty Combnng n Desgnng of Wreless Communcaton Systems n the Presence of Fadng DRAGANA KRSTIC, MARKO KOVACEVIC, GORAN STAMENOVIC,

More information

FAST ELECTRON IRRADIATION EFFECTS ON MOS TRANSISTOR MICROSCOPIC PARAMETERS EXPERIMENTAL DATA AND THEORETICAL MODELS

FAST ELECTRON IRRADIATION EFFECTS ON MOS TRANSISTOR MICROSCOPIC PARAMETERS EXPERIMENTAL DATA AND THEORETICAL MODELS Journal of Optoelectroncs and Advanced Materals Vol. 7, No., June 5, p. 69-64 FAST ELECTRON IRRAIATION EFFECTS ON MOS TRANSISTOR MICROSCOPIC PARAMETERS EXPERIMENTAL ATA AN THEORETICAL MOELS G. Stoenescu,

More information

Optimal Allocation of Static VAr Compensator for Active Power Loss Reduction by Different Decision Variables

Optimal Allocation of Static VAr Compensator for Active Power Loss Reduction by Different Decision Variables S. Aucharyamet and S. Srsumrannukul / GMSARN Internatonal Journal 4 (2010) 57-66 Optmal Allocaton of Statc VAr Compensator for Actve Power oss Reducton by Dfferent Decson Varables S. Aucharyamet and S.

More information

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6)

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6) Passve Flters eferences: Barbow (pp 6575), Hayes & Horowtz (pp 360), zzon (Chap. 6) Frequencyselectve or flter crcuts pass to the output only those nput sgnals that are n a desred range of frequences (called

More information

Optimal Sizing and Allocation of Residential Photovoltaic Panels in a Distribution Network for Ancillary Services Application

Optimal Sizing and Allocation of Residential Photovoltaic Panels in a Distribution Network for Ancillary Services Application Optmal Szng and Allocaton of Resdental Photovoltac Panels n a Dstrbuton Networ for Ancllary Servces Applcaton Reza Ahmad Kordhel, Student Member, IEEE, S. Al Pourmousav, Student Member, IEEE, Jayarshnan

More information

Product Information. Jaw quick-change system BSWS-PGZN-plus

Product Information. Jaw quick-change system BSWS-PGZN-plus Product Informaton BSWS-PGZN-plus BSWS-PGZN-plus Productve. Flexble. Cost-effectve. BSWS jaw quck-change system The BSWS jaw quck-change system allows top jaws to be changed on the grpper manually and

More information

Exploiting Dynamic Workload Variation in Low Energy Preemptive Task Scheduling

Exploiting Dynamic Workload Variation in Low Energy Preemptive Task Scheduling Explotng Dynamc Worload Varaton n Low Energy Preemptve Tas Schedulng Lap-Fa Leung, Ch-Yng Tsu Department of Electrcal and Electronc Engneerng Hong Kong Unversty of Scence and Technology Clear Water Bay,

More information

POLYTECHNIC UNIVERSITY Electrical Engineering Department. EE SOPHOMORE LABORATORY Experiment 1 Laboratory Energy Sources

POLYTECHNIC UNIVERSITY Electrical Engineering Department. EE SOPHOMORE LABORATORY Experiment 1 Laboratory Energy Sources POLYTECHNIC UNIERSITY Electrcal Engneerng Department EE SOPHOMORE LABORATORY Experment 1 Laboratory Energy Sources Modfed for Physcs 18, Brooklyn College I. Oerew of the Experment Ths experment has three

More information

The Impact of Spectrum Sensing Frequency and Packet- Loading Scheme on Multimedia Transmission over Cognitive Radio Networks

The Impact of Spectrum Sensing Frequency and Packet- Loading Scheme on Multimedia Transmission over Cognitive Radio Networks Ths artcle has been accepted for publcaton n a future ssue of ths journal, but has not been fully edted. Content may change pror to fnal publcaton. The Impact of Spectrum Sensng Frequency and Pacet- Loadng

More information

The Application of Tabu Search Algorithm on Power System Restoration

The Application of Tabu Search Algorithm on Power System Restoration The Applcaton of Tabu Search Algorthm on Power System Restoraton FANG Xn-yan,CAI Xao-y, Jang Chuan-wen Dept.of Electrcal Eng.., Shangha Jaotong Unv., Shangha 200240, Chna Abstract:-The essay s just accordng

More information

High Speed ADC Sampling Transients

High Speed ADC Sampling Transients Hgh Speed ADC Samplng Transents Doug Stuetzle Hgh speed analog to dgtal converters (ADCs) are, at the analog sgnal nterface, track and hold devces. As such, they nclude samplng capactors and samplng swtches.

More information

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter Walsh Functon Based Synthess Method of PWM Pattern for Full-Brdge Inverter Sej Kondo and Krt Choesa Nagaoka Unversty of Technology 63-, Kamtomoka-cho, Nagaoka 9-, JAPAN Fax: +8-58-7-95, Phone: +8-58-7-957

More information

Strain Gauge Measuring Amplifier BA 660

Strain Gauge Measuring Amplifier BA 660 Stran Gauge Measurng Amplfer BA 660 Orgnal of the Manual BA660 / IP20 BA660 / IP66 Table of Contents 1. Safety precautons...2 1.1. Feld of applcaton...2 1.2. Installaton...2 1.3. Mantenance...2 2. Functon...2

More information

* wivecrest Corporation 1715 Technology Dr., Suite 400 Saq Jose, CA w avecrestcorp. corn

* wivecrest Corporation 1715 Technology Dr., Suite 400 Saq Jose, CA w avecrestcorp. corn A New 'Method for Jtter Decomposton Through ts Dstrbuton Tal Fttng Mke P. L*, Jan Wlstrup+, Ross Jessen+, Denns Petrch* Abstract * wvecrest Corporaton 75 Technology Dr., Sute 400 Saq Jose, CA 95 0 mp,eng@

More information

Introduction. (Received 08 January 2009; accepted 10 March 2009)

Introduction. (Received 08 January 2009; accepted 10 March 2009) 44. Creatng of the mathematcal model of a reslent support sprng type element and ts transfer functon from the nput and output mpulse responses V. Slvnskas, K. Slvnskas, A. Trumpa Vlnus Gedmnas Techncal

More information

A Novel Optimization of the Distance Source Routing (DSR) Protocol for the Mobile Ad Hoc Networks (MANET)

A Novel Optimization of the Distance Source Routing (DSR) Protocol for the Mobile Ad Hoc Networks (MANET) A Novel Optmzaton of the Dstance Source Routng (DSR) Protocol for the Moble Ad Hoc Networs (MANET) Syed S. Rzv 1, Majd A. Jafr, and Khaled Ellethy Computer Scence and Engneerng Department Unversty of Brdgeport

More information

Models of Objects of Control in SCADA System for Monitoring and Operational Dispatching in Sofia Metropolitan

Models of Objects of Control in SCADA System for Monitoring and Operational Dispatching in Sofia Metropolitan Models of Objects of Control n SCADA System for Montorng and Operatonal Dspatchng n Sofa Metropoltan Key Words: SCADA (Supervsory Control and Data Acquston systems); metropoltan; modelng. Abstract. Supervsory

More information

Estimating Mean Time to Failure in Digital Systems Using Manufacturing Defective Part Level

Estimating Mean Time to Failure in Digital Systems Using Manufacturing Defective Part Level Estmatng Mean Tme to Falure n Dgtal Systems Usng Manufacturng Defectve Part Level Jennfer Dworak, Davd Dorsey, Amy Wang, and M. Ray Mercer Texas A&M Unversty IBM Techncal Contact: Matthew W. Mehalc, PowerPC

More information

Optimization Frequency Design of Eddy Current Testing

Optimization Frequency Design of Eddy Current Testing Optmzaton Frequency Desgn of Eddy Current Testng NAONG MUNGKUNG 1, KOMKIT CHOMSUWAN 1, NAONG PIMPU 2 AND TOSHIFUMI YUJI 3 1 Department of Electrcal Technology Educaton Kng Mongkut s Unversty of Technology

More information

Network Application Engineering Laboratories Ltd., Japan

Network Application Engineering Laboratories Ltd., Japan A Study of Pedestran Observaton System wth Ultrasonc Dstance Sensor Shohe MINOMI, Hrosh YAMAMOTO, Katsuch NAKAMURA, Katsuyuk YAMAZAKI Nagaoka Unversty of Technology, Japan e-mal:mnom@stn.nagaokaut.ac.jp

More information

Subarray adaptive beamforming for reducing the impact of flow noise on sonar performance

Subarray adaptive beamforming for reducing the impact of flow noise on sonar performance Subarray adaptve beamformng for reducng the mpact of flow nose on sonar performance C. Bao 1, J. Leader and J. Pan 1 Defence Scence & Technology Organzaton, Rockngham, WA 6958, Australa School of Mechancal

More information

Performance Analysis of Multi User MIMO System with Block-Diagonalization Precoding Scheme

Performance Analysis of Multi User MIMO System with Block-Diagonalization Precoding Scheme Performance Analyss of Mult User MIMO System wth Block-Dagonalzaton Precodng Scheme Yoon Hyun m and Jn Young m, wanwoon Unversty, Department of Electroncs Convergence Engneerng, Wolgye-Dong, Nowon-Gu,

More information

STATISTICS. is given by. i i. = total frequency, d i. = x i a ANIL TUTORIALS. = total frequency and d i. = total frequency, h = class-size

STATISTICS. is given by. i i. = total frequency, d i. = x i a ANIL TUTORIALS. = total frequency and d i. = total frequency, h = class-size STATISTICS ImPORTANT TERmS, DEFINITIONS AND RESULTS l The mean x of n values x 1, x 2, x 3,... x n s gven by x1+ x2 + x3 +... + xn x = n l mean of grouped data (wthout class-ntervals) () Drect method :

More information

Modelling the Evolution of National Economies Based on Input Output Networks

Modelling the Evolution of National Economies Based on Input Output Networks Comput Econ DOI 0.007/s06-0-96- Modellng the Evoluton of Natonal Economes Based on Input Output Networks Wen-Q Duan Accepted: 6 February 0 Sprnger Scence+Busness Meda, LLC. 0 Abstract Uncoverng the evolutonary

More information

A Study on Mechanism of the Growth and Evolution of Intellectual Property Value Chain: A Self-Organization Perspective

A Study on Mechanism of the Growth and Evolution of Intellectual Property Value Chain: A Self-Organization Perspective Amercan Journal of Operatons Research, 2012, 2, 242-246 do:10.4236/aor.2012.22028 Publshed Onlne June 2012 (http://www.scrp.org/ournal/aor) A Study on Mechansm of the Growth and Evoluton of Intellectual

More information

AN ALTERNATE CUT-OFF FREQUENCY FOR THE RESPONSE SPECTRUM METHOD OF SEISMIC ANALYSIS

AN ALTERNATE CUT-OFF FREQUENCY FOR THE RESPONSE SPECTRUM METHOD OF SEISMIC ANALYSIS ASIAN JOURNAL OF CIVIL ENGINEERING (BUILDING AND HOUSING) VOL. 11, NO. 3 (010) PAGES 31-334 AN ALTERNATE CUT-OFF FREQUENCY FOR THE RESPONSE SPECTRUM METHOD OF SEISMIC ANALYSIS M. Dhleep a*, N.P. Shahul

More information

Research Article Dynamic Relay Satellite Scheduling Based on ABC-TOPSIS Algorithm

Research Article Dynamic Relay Satellite Scheduling Based on ABC-TOPSIS Algorithm Mathematcal Problems n Engneerng Volume 2016, Artcle ID 3161069, 11 pages http://dx.do.org/10.1155/2016/3161069 Research Artcle Dynamc Relay Satellte Schedulng Based on ABC-TOPSIS Algorthm Shufeng Zhuang,

More information

A MODIFIED DIFFERENTIAL EVOLUTION ALGORITHM IN SPARSE LINEAR ANTENNA ARRAY SYNTHESIS

A MODIFIED DIFFERENTIAL EVOLUTION ALGORITHM IN SPARSE LINEAR ANTENNA ARRAY SYNTHESIS A MODIFIED DIFFERENTIAL EVOLUTION ALORITHM IN SPARSE LINEAR ANTENNA ARRAY SYNTHESIS Kaml Dmller Department of Electrcal-Electroncs Engneerng rne Amercan Unversty North Cyprus, Mersn TURKEY kdmller@gau.edu.tr

More information