TO ENABLE an energy-efficient operation of many-core

Size: px
Start display at page:

Download "TO ENABLE an energy-efficient operation of many-core"

Transcription

1 1654 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 65, NO. 11, NOVEMBER /3 and 1/2 Reconfigurable Switched Capacitor DC DC Converter With 92.9% Efficiency at 62 mw/mm 2 Using Driver Amplitude Doubler Toru Sai, Member, IEEE, Yoshitaka Yamauchi, Student Member, IEEE, Hajime Kando, Tatsuya Funaki, Takayasu Sakurai, Fellow, IEEE, and Makoto Takamiya, Senior Member, IEEE Abstract A 2/3 and 1/2 reconfigurable switched capacitor (SC) dc dc converter is developed for a per-core dynamic voltage scaling of many-core microprocessors. The power conversion efficiency and the output power density of the SC dc dc converter in 2/3 mode is degraded, because full-swing and half-swing drivers for power transistors are mixed and the resistive loss of the power MOSFETs with the half-swing drivers is large. To solve the problem, a fully integrated driver amplitude doubler (DAD) is proposed. In DAD, the gate amplitude of the power MOSFETs is increased from half-swing to full-swing by generating a 1/3 input voltage sampled from a flying capacitor. In the fabricated 2.7-V input SC dc dc converter mounting four 100-nF 0402 (0.4 mm 0.2 mm 0.2 mm) multilayer ceramic chip capacitors on 180-nm CMOS die achieves the highest efficiency of 92.9% at the output power density of 62 mw/mm 2 in the published step-down SC dc dc converters. Index Terms Switched capacitor (SC), DC-DC converter, stepdown, driver amplitude doubler (DAD), multilayer ceramic chip capacitor (MLCC), power density. Fig. 1. η vs. PD of state-of-the-art step-down DC-DC converters. I. INTRODUCTION TO ENABLE an energy-efficient operation of many-core microprocessors, a fine-grained per-core dynamic voltage scaling is required [1]. As the number of the cores increases, the required number of the power supply voltages (V DD s) also increases. Integrated voltage regulators (IVRs) are the solution to generate multiple V DD s on a chip, because increasing the number of off-chip voltage regulators is not practical. Key metrics of IVRs are the power conversion efficiency (η), the output power (P OUT ), the form factor, the output power density (PD) (=P OUT / area), and the cost. The design target of this brief is to develop an IVR with (1) η>90%, (2) PD> 50mW/mm 2, and (3) alowcost bulk CMOS process. The conventional IVRs, however, Manuscript received August 28, 2017; revised October 4, 2017; accepted October 5, Date of publication October 12, 2017; date of current version October 29, This work was supported by Murata Manufacturing Company, Ltd. This brief was recommended by Associate Editor H.-J. Chiu. (Corresponding author: Toru Sai.) T. Sai, Y. Yamauchi, T. Sakurai, and M. Takamiya are with the Institute of Industrial Science, University of Tokyo, Tokyo , Japan ( sai@iis.u-tokyo.ac.jp). H. Kando is with the Innovative Technology Development Department, Murata Manufacturing Company Ltd., Shiga , Japan. T. Funaki is with the JISSO Technology Development Department, Murata Manufacturing Company Ltd., Shiga , Japan. Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TCSII do not satisfy the design target. Fig. 1 shows η and PD of the state-of-the-art step-down DC-DC converters with the switching frequency (f SW ), the output voltage (V OUT ), and the output current (I OUT ) including both buck converters and switched-capacitor (SC) DC-DC converters. The design target of this brief is shown. In the fully integrated buck converter [2], η of 72% is comparable to η = 67% of an ideal LDO and η is too low due to the low quality factor (Q = 4.5) of the on-chip inductor. In the buck converter with on-interposer inductor [3], the lack of the scalability of inductor [2] is a problem in IVRs, though both η = 90% and PD = 2.6W/mm 2 satisfy the design target. In the fully integrated SC DC-DC converters using the bulk CMOS process, η = 79.76% [4], 81% [8], and PD = 1.3mW/mm 2 [5] do not satisfy the design target, which indicates the tradeoff between η and PD. In the fully integrated SC DC-DC converters using the deep trench capacitor process [6], η = 90% and PD = 3.71W/mm 2 are achieved. This approach does not satisfy the design target, because the deep trench capacitor process is not low-cost and not generally available. In the SC DC-DC converters with off-chip capacitors, PD = 1.0mW/mm 2 [7] do not satisfy the design target. To achieve the design target, in this brief, a 2/3 and 1/2 reconfigurable SC DC-DC converter mounting four 100-nF 0402 (0.4mm 0.2mm 0.2mm) multilayer ceramic chip capacitors (MLCCs) on 180-nm CMOS die is developed c 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

2 SAI et al.: 2/3 AND 1/2 RECONFIGURABLE SC DC DC CONVERTER WITH 92.9% EFFICIENCY AT 62mW/mm 2 USING DAD 1655 Fig. 3. Proposed driver amplitude doubler (DAD). Fig. 4. Gate/source/drain voltages of all power transistors in conventional SC DC-DC converter in 2/3 mode. Fig. 2. (a) Proposed 2/3 and 1/2 reconfigurable SC DC-DC converter. (b) Timing chart of conventional and proposed converter in 2/3 mode. for the dynamic voltage scaling. The developed SC DC-DC converter with the proposed fully-integrated driver amplitude doubler (DAD) achieved η = 92.9% and PD = 62mW/mm 2 at the input voltage (V IN )of2.7v,v OUT of 1.71V, and I OUT of 100mA. II. SC DC-DC CONVERTERS WITH DRIVER AMPLITUDE DOUBLER A. Gate Amplitude in 2/3 SC DC-DC Converters In this chapter, the problem of the conventional 2/3 SC DC-DC converter is explained. Then, a new SC DC-DC converter with the proposed DAD is introduced. Fig. 2 (a) shows a circuit schematic of the proposed 2/3 and 1/2 reconfigurable SC DC-DC converter with two flying capacitors (C FLY1 and C FLY2 ). In this brief, V IN is 2.7V and V OUT is 1.8V and 1.35V in 2/3 and 1/2 mode, respectively, because all nine power transistors are 1.8-V core transistors in 180-nm CMOS process. Fig. 2(b) shows a timing chart of the conventional and the proposed SC DC-DC converter in 2/3 mode. In the conventional SC DC-DC converter, the amplitude Φ 1H and Φ 2H is 0.9V, because DAD in Fig. 2(a) is not used and V X is connected to V OUT. In contrast, in the proposed SC DC-DC converter, the amplitude Φ 1H and Φ 2H is doubled to 1.8V, because V X of 0.9V is generated by the proposed DAD shown in Fig. 3. Figs. 4 and 5 show gate/source/drain voltages of all power transistors in the conventional and the proposed SC DC-DC converter in 2/3 mode, respectively. The conventional SC DC-DC converter is based on [4]. In the conventional SC DC-DC converter in Fig. 4, the resistive loss of M 1,M 2,M 5, and M 6 is large, because V GS of M 1,M 2,M 5, and M 6 is 0.9V due to the 0.9-V amplitude Φ 1H and Φ 2H. Thus, four power transistors (M 1,M 2,M 5, and M 6 ) are weak ON out of the working seven power transistors (M 1,M 2,M 4,M 5,M 6,M 8, and M 9 ). Fig. 6 shows a simulated V GS dependence of ON resistance of pmosfet. When V GS is reduced from 1.8V to 0.9V, the ON resistance increases to x3, which degrades η and PD. To achieve both high η and high PD, the full-swing (=1.8-V amplitude) V GS is the primary design goal. In the proposed SC DC-DC converter in Fig. 5, the resistive loss of M 1,M 5, and M 6 is reduced, because V GS of M 1,M 5, and M 6 is doubled to 1.8V because of the 1.8-V amplitude Φ 1H and Φ 2H thanks to DAD, thereby achieving higher η and PD than the conventional SC DC-DC converter.

3 1656 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 65, NO. 11, NOVEMBER 2018 Fig. 7. Simulated C X1 or C X1 + C X2 dependence of V X. Fig. 5. Gate/source/drain voltages of all power transistors in proposed SC DC-DC converter in 2/3 mode. Fig. 6. Simulated V GS dependence of ON resistance of pmosfet for power transistor. B. Proposed Driver Amplitude Doubler How to generate V X of 0.9V is explained in Fig. 5. Both C FLY1 and C FLY2 are implemented with off-chip capacitors, while both C X1 and C X2 are implemented with on-chip MOS gate capacitors. DAD with and without C X2 block is discussed. In DAD without C X2 block, V X is 0.9V in Φ 2 phase, because V X is connected to V 1 of 0.9V. In Φ 1 phase, V X goes above 0.9V, because the charge (Q 1 ) from the non-overlap clock generator to drive the gate voltage of the power transistors (M 1, M 2,M 5, and M 6 ) from 2.7V to 0.9V is injected to V X.In DAD without C X2 block, V X in Φ 1 phase is shown as, V X = C X1V 1 + C G V IN, (1) where C G is the total gate capacitance of the power transistors (M 1,M 2,M 5, and M 6 ), C G V IN is the charge amount of C G in Φ 2 phase. Because V 1 is equal to 1/3 V IN,Eq.(1) is rewritten as, 1 3 V X = V IN. (2) Fig. 8. Simulated waveforms of V OUT, V X, 1H with C X2. When C G is zero, V X is equal to 1/3 V IN. Actually, however, C G is not neglected and V X is above 1/3 V IN (= 0.9V). When V X goes above 0.9V, M 7 will be turned on, which is not acceptable. Fig. 7 shows the SPICE simulated C X1 dependence of V X. To obtain V X of 0.9V, large C X1 is required and the die area overhead is increased, which is not acceptable. To prevent the rise of V X and the large area overhead of C X1, DAD with C X2 block is proposed. In DAD with C X2 block, in Φ 2 phase, C X1 is charged to 0.9V and C X2 is charged to 0V. V X in Φ 1 phase is shown as, V X = C X1V 1 + C X2 0V + C G V IN = C X1 + C X2 + C G 1 3 V IN. (3) C X1 + C X2 + C G When C X2 is designed to be equal to 2 C G, V X is equal to 1/3 V IN, which achieves the design target. Fig. 8 shows the simulated waveforms of V OUT, V X, and Φ 1H of Fig. 5 with C X2 block at I OUT = 100mA, f SW = 5MHz. The voltage V X samples V 1 by C X1 in Φ 2 phase then holds V 1 to 0.9V in Φ 1 phase thanks to DAD with C X2 block. Fig. 7 shows the simulated C X1 + C X2 dependence of V X. C X1 is fixed to 416pF and C X2 is varied. To obtain V X of 0.9V, the total required capacitance (C X1 + C X2 ) is reduced by 84%, because C X2 is pre-charged to 0V instead of 0.9V. Thanks to the C X2 block, the area overhead of C X1 + C X2 is reduced. III. MEASURED RESULTS Fig. 9 shows a die photo and a layout of the 2/3 and 1/2 reconfigurable SC DC-DC converter directly mounting four 100-nF 0402 (0.4mm 0.2mm 0.2mm) MLCCs (GRM022R60G104ME15) on 180-nm CMOS die. In Fig. 2(a), all nine power transistors are 1.8-V core transistors and other

4 SAI et al.: 2/3 AND 1/2 RECONFIGURABLE SC DC DC CONVERTER WITH 92.9% EFFICIENCY AT 62mW/mm 2 USING DAD 1657 Fig. 9. Die photo and layout of reconfigurable SC DC-DC converter. Fig. 10. Die photo of reconfigurable SC DC-DC converter on PCB. Fig. 12. Measured clock frequency dependence of efficiency in (a) 2/3 mode and (b) 1/2 mode at different I OUT. Fig. 11. Thermal shock test. block also use 3.3-V I/O transistors. Die size is 3.3mm by 1.8mm and the core area is 2.1mm by 1.3mm. Four MLCCs areusedforc IN, C FLY1, C FLY2, and C OUT. C X1 of 416pF and C X2 of 69pF are implemented on the die. V IN is fixed to 2.7V. Fig. 10 shows a die mounted on PCB. The mounting of MLCCs on the silicon die is also reported in [9], however, the reliability of the mounting may be an issue, because the coefficients of thermal expansion of MLCCs and the silicon are different. To verify the reliability of the mounting, the thermal shock testing is done using the thermal shock chamber (Espec TSA-71H-W). Fig. 11 shows the thermal profile of the one cycle of the thermal shock testing specified in the testing standard (JIS C [10]). η of three SC DC-DC converters in 2/3 mode and I OUT of 100mA before and after the 101-cycle thermal shock are measured and compared. The measured η change is very small (Sample 1: η = 92.88% to 92.96%, Sample 2: η = 93.08% to 93.14%, and Sample 3: η = 92.87% to 92.99%), indicating that the mounting of MLCCs on the silicon die is reliable. Fig. 13. Measured V OUT dependence of efficiency in 2/3 and 1/2 mode with varied clock frequency at I OUT = 10mA. Fig. 12 shows the measured clock frequency dependence of η in 2/3 and 1/2 mode at different I OUT. In 2/3 mode and I OUT of 100mA, the peak η of 92.9% is obtained at 4MHz and V OUT of 1.71V, achieving P OUT of 171mW and PD of 62mW/mm 2. In 2/3 mode and I OUT of 10mA, the peak η of 94.6% is obtained at 600kHz and V OUT of 1.75V, achieving P OUT of 17.5mW and PD of 6.4mW/mm 2. In 1/2 mode and I OUT of 100mA, the peak η of 92.7% is obtained at 4MHz and V OUT of 1.28V, achieving P OUT of 128mW and PD of 47mW/mm 2.In 1/2 mode and I OUT of 10mA, the peak η of 94.5% is obtained at 500kHz and V OUT of 1.31V, achieving P OUT of 13.1mW and PD of 4.8mW/mm 2.Fig.13 shows the measured V OUT dependence of η in 2/3 and 1/2 mode with varied clock frequency at

5 1658 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 65, NO. 11, NOVEMBER 2018 TABLE I COMPARISON WITH STATE-OF-THE-ART STEP DOWN SC DC-DC CONVERTERS Fig. 14. Measured I OUT dependence of efficiency in 2/3 and 1/2 mode. Fig. 15. Measured V OUT and Clk at I OUT = 100mA in 2/3 mode. IV. CONCLUSION The 2/3 and 1/2 reconfigurable 2.7-V input SC DC-DC converter mounting four 100-nF 0402 MLCCs on 180-nm CMOS die is developed for IVRs. The reliability of the mounting of MLCCs on the silicon die is measured and verified. Thanks to the proposed fully-integrated DAD, the SC DC-DC converter in 2/3 mode at V OUT of 1.71V and I OUT of 100mA achieved the highest η of 92.9% at PD = 62mW/mm 2 in the published step-down DC-DC converters. REFERENCES Fig. 16. Measured V OUT and Clk at I OUT = 100mA in 1/2 mode. I OUT = 10mA. The operation of the 2/3 and 1/2 reconfigurable SC DC-DC converter is demonstrated. Fig. 14 shows the measured I OUT dependence of η of the proposed 2/3 and 1/2 reconfigurable SC DC-DC converter at 5MHz. In 2/3 mode, the peak η of 93.3% is obtained at I OUT of 70mA and V OUT of 1.74V, achieving P OUT of 122mW and PD of 45mW/mm 2. In 1/2 mode, the peak η of 92.8% is obtained at I OUT of 90mA and V OUT of 1.30V, achieving P OUT of 117mW and PD of 43mW/mm 2. Figs. 15 and 16 show the measured waveforms of V OUT and Clk of the SC DC-DC converter in 2/3 and 1/2 mode, respectively. V IN is 2.7V and f SW is 5MHz. The peak-to peak spike noise are 127mV and 83mV in 2/3 and 1/2mode, respectively. Table I shows the comparison with the state-of-the-art step-down SC DC-DC converters. The proposed SC DC-DC converter using the low-cost bulk CMOS process and MLCCs achieved the highest η of 92.9% at PD = 62mW/mm 2 in the published step-down DC-DC converters, achieving the design target. [1] S. Zhang, N. R. Shanbhag, and P. T. Krein, System-level optimization of switched-capacitor VRM and core for sub/near-vt computing, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 61, no. 9, pp , Sep [2] P. Kumar et al., A0.4V 1V 0.2A/mm 2 70% efficient 500MHz fully integrated digitally controlled 3-level buck voltage regulator with on-die high density MIM capacitor in 22nm tri-gate CMOS, in Proc. IEEE Custom Integr. Circuits Conf., San Jose, CA, USA, 2015, pp [3] N. Kurd et al., 5.9 Haswell: A family of IA 22nm processors, in Proc. IEEE Int. Solid State Circuits Conf., San Francisco, CA, USA, 2014, pp [4] H.-P. Le, S. R. Sanders, and E. Alon, Design techniques for fully integrated switched-capacitor DC DC converters, IEEE J. Solid-State Circuits, vol. 46, no. 9, pp , Sep [5] N. Butzen and M. Steyaert, 12.2 A 94.6%-efficiency fully integrated switched-capacitor DC DC converter in baseline 40nm CMOS using scalable parasitic charge redistribution, in Proc. IEEE Int. Solid State Circuits Conf., San Francisco, CA, USA, 2016, pp [6] T. M. Andersen et al., 4.7 A sub-ns response on-chip switched-capacitor DC DC voltage regulator delivering 3.7W/mm2 at 90% efficiency using deep-trench capacitors in 32nm SOI CMOS, in Proc. IEEE Int. Solid State Circuits Conf., San Francisco, CA, USA, 2014, pp [7] L. G. Salem and P. P. Mercier, A battery-connected 24-ratio switched capacitor PMIC achieving 95.5%-efficiency, in Proc. IEEE Symp. VLSI Circuits, Kyoto, Japan, 2015, pp. C340 C341. [8] G. V. Piqué, A 41-phase switched-capacitor power converter with 3.8mV output ripple and 81% efficiency in baseline 90nm CMOS, in Proc. IEEE Int. Solid State Circuits Conf., San Francisco, CA, USA, 2013, pp [9] C. Schaef, E. Din, and J. T. Stauth, 10.2 A digitally controlled 94.8%-peak-efficiency hybrid switched-capacitor converter for bidirectional balancing and impedance-based diagnostics of lithium-ion battery arrays, in Proc. IEEE Int. Solid State Circuits Conf., San Francisco, CA, USA, 2017, pp [10] Japanese Standard Association, Environmental Testing-Part 2-14: Tests-Test N: Charge of Temperature, Japanese Standard JIS :2001, accessed: Aug. 28, [Online]. Available:

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Triple boundary multiphase with predictive interleaving technique for switched capacitor DC-DC converter

More information

Design Techniques for Fully Integrated Switched- Capacitor Voltage Regulators

Design Techniques for Fully Integrated Switched- Capacitor Voltage Regulators Design Techniques for Fully Integrated Switched- Capacitor Voltage Regulators Hanh-Phuc Le Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2015-21

More information

The Road to Integrated Power Conversion via the Switched Capacitor Approach. Prof. Seth Sanders EECS Department, UC Berkeley

The Road to Integrated Power Conversion via the Switched Capacitor Approach. Prof. Seth Sanders EECS Department, UC Berkeley The Road to Integrated Power Conversion via the Switched Capacitor Approach Prof. Seth Sanders EECS Department, UC Berkeley 1 Integrated Power Integration has benefits: Reduce passives -> save board real

More information

WITH the trend of integrating different modules on a

WITH the trend of integrating different modules on a IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 7, JULY 2017 737 A Fully Integrated Multistage Cross-Coupled Voltage Multiplier With No Reversion Power Loss in a Standard CMOS

More information

IN RECENT years, low-dropout linear regulators (LDOs) are

IN RECENT years, low-dropout linear regulators (LDOs) are IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 9, SEPTEMBER 2005 563 Design of Low-Power Analog Drivers Based on Slew-Rate Enhancement Circuits for CMOS Low-Dropout Regulators

More information

A Flying-Domain DC-DC Converter Powering a Cortex-M0 Processor with 90.8% Efficiency

A Flying-Domain DC-DC Converter Powering a Cortex-M0 Processor with 90.8% Efficiency A Flying-Domain DC-DC Converter Powering a Cortex-M0 Processor with 90.8% Efficiency Loai G. Salem, John G. Louie, and Patrick P. Mercier University of California, San Diego ISSCC 2016 Independent supply

More information

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 4 ǁ April. 2013 ǁ PP.15-19 High efficiency DC-DC Buck converter architecture suitable

More information

Fully Integrated Switched-Capacitor DC-DC Conversion

Fully Integrated Switched-Capacitor DC-DC Conversion Fully Integrated Switched-Capacitor DC-DC Conversion Elad Alon In collaboration with Hanh-Phuc Le, Seth Sanders Berkeley Wireless Research Center University of California, Berkeley Multi-Core Chips Are

More information

Deep Trench Capacitors for Switched Capacitor Voltage Converters

Deep Trench Capacitors for Switched Capacitor Voltage Converters Deep Trench Capacitors for Switched Capacitor Voltage Converters Jae-sun Seo, Albert Young, Robert Montoye, Leland Chang IBM T. J. Watson Research Center 3 rd International Workshop for Power Supply on

More information

Integrated Power Management with Switched-Capacitor DC-DC Converters

Integrated Power Management with Switched-Capacitor DC-DC Converters Integrated Power Management with Switched-Capacitor DC-DC Converters Hanh-Phuc Le, Michael Seeman, Vincent Ng., Mervin John Prof. Seth Sanders and Prof. Elad Alon UC Berkeley, California p.1 Integration

More information

Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach

Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach 770 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 6, JUNE 2002 Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach Anand Veeravalli, Student Member,

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

A Deep Trench Capacitor Based 2:1 and 3:2 Reconfigurable On-Chip Switched Capacitor DC-DC Converter in 32 nm SOI CMOS

A Deep Trench Capacitor Based 2:1 and 3:2 Reconfigurable On-Chip Switched Capacitor DC-DC Converter in 32 nm SOI CMOS 2014 IEEE Proceedings of the 29th Applied Power Electronics Conference and Exposition (APEC 2014), Texas, Houston, USA, March 16-20, 2014 A Deep Trench Capacitor Based 2:1 and 3:2 Reconfigurable On-Chip

More information

CURRENTLY, near/sub-threshold circuits have been

CURRENTLY, near/sub-threshold circuits have been 536 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 2, FEBRUARY 2014 Intermittent Resonant Clocking Enabling Power Reduction at Any Clock Frequency for Near/Sub-Threshold Logic Circuits Hiroshi Fuketa,

More information

Analysis of Buck Converters for On-Chip Integration With a Dual Supply Voltage Microprocessor

Analysis of Buck Converters for On-Chip Integration With a Dual Supply Voltage Microprocessor 514 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO., JUNE 200 [7], On optimal board-level routing for FPGA-based logic emulation, IEEE Trans. Computer-Aided Design, vol.

More information

Analysis and Optimization of CMOS Switched-Capacitor Converters

Analysis and Optimization of CMOS Switched-Capacitor Converters Analysis and Optimization of CMOS Switched-Capacitor Converters Visvesh S. Sathe Department of Electrical Engineering, University of Washington Seattle, Washington Email: sathe@uw.edu Jae-sun Seo School

More information

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Active Low Pass Filter based Efficient DC-DC Converter K.Raashmil *1, V.Sangeetha 2 *1 PG Student, Department of VLSI Design,

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3344-3357 School of Engineering, Taylor s University DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE

More information

Switched-Capacitor Converters: Big & Small. Michael Seeman Ph.D. 2009, UC Berkeley SCV-PELS April 21, 2010

Switched-Capacitor Converters: Big & Small. Michael Seeman Ph.D. 2009, UC Berkeley SCV-PELS April 21, 2010 Switched-Capacitor Converters: Big & Small Michael Seeman Ph.D. 2009, UC Berkeley SCV-PELS April 21, 2010 Outline Problem & motivation Applications for SC converters Switched-capacitor fundamentals Power

More information

Efficiency Optimization of a Step-Down Switched Capacitor Converter for Subthreshold Applications

Efficiency Optimization of a Step-Down Switched Capacitor Converter for Subthreshold Applications IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 1, NO. 1, DECEMBER 013 353 Efficiency Optimization of a Step-Down Switched Capacitor Converter for Subthreshold Applications Natan

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

WITH the growth of data communication in internet, high

WITH the growth of data communication in internet, high 136 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 A 0.18-m CMOS 1.25-Gbps Automatic-Gain-Control Amplifier I.-Hsin Wang, Student Member, IEEE, and Shen-Iuan

More information

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES Aamna Anil 1 and Ravi Kumar Sharma 2 1 Department of Electronics and Communication Engineering Lovely Professional University, Jalandhar, Punjab, India

More information

Research Article Volume 6 Issue No. 12

Research Article Volume 6 Issue No. 12 ISSN XXXX XXXX 2016 IJESC Research Article Volume 6 Issue No. 12 A Fully-Integrated Low-Dropout Regulator with Full Spectrum Power Supply Rejection Muthya la. Manas a 1, G.Laxmi 2, G. Ah med Zees han 3

More information

The importance of fully-integrated CMOS: Cost-Effective Integrated DC-DC Converters

The importance of fully-integrated CMOS: Cost-Effective Integrated DC-DC Converters The importance of fully-integrated CMOS: Cost-Effective Integrated DC-DC Converters Hans Meyvaert Tom Van Breussegem Hagen Marien Dr. Mike Wens Prof. Dr. Michiel Steyaert Overview Introduction DC-DC converters

More information

A New CMOS-DC/DC-Step-Up Converter for up to 2 mw Enduring Loads

A New CMOS-DC/DC-Step-Up Converter for up to 2 mw Enduring Loads A New CMOS-DC/DC-Step-Up Converter for up to mw Enduring Loads DANIEL BATAS, KLAUS SCHUMACHER Dept of Microelectronics University of Dortmund Dortmund GERMANY http://www-ims.e-technik.uni-dortmund.de Abstract:

More information

REFERENCE circuits are the basic building blocks in many

REFERENCE circuits are the basic building blocks in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 8, AUGUST 2006 667 New Curvature-Compensation Technique for CMOS Bandgap Reference With Sub-1-V Operation Ming-Dou Ker, Senior

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

Optimization of a Multi-Target Voltages Switched Capacitor Converter

Optimization of a Multi-Target Voltages Switched Capacitor Converter Optimization of a Multi-Target Voltages Switched Capacitor Converter Natan Krihely, Sam Ben-Yaakov, and Alexander Fish Department of Electrical and Computer Engineering Ben-Gurion University of the Negev

More information

INVESTIGATION OF ZCS RESONANT-SWITCH DC-DC CONVERTER FOR FULLY MONOLITHIC IC IMPLEMENTATION

INVESTIGATION OF ZCS RESONANT-SWITCH DC-DC CONVERTER FOR FULLY MONOLITHIC IC IMPLEMENTATION INVESTIGATION OF ZCS RESONANT-SWITCH DC-DC CONVERTER FOR FULLY MONOLITHIC IC IMPLEMENTATION Tihomir Sashev Brusev, Petar Trifonov Goranov, Marin Hristov Hristov FETT, Technical University of Sofia, 8,

More information

IN digital circuits, reducing the supply voltage is one of

IN digital circuits, reducing the supply voltage is one of IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 10, OCTOBER 2014 753 A Low-Power Subthreshold to Above-Threshold Voltage Level Shifter S. Rasool Hosseini, Mehdi Saberi, Member,

More information

PAPER Circuit Performance Degradation of Switched-Capacitor Circuit with Bootstrapped Technique due to Gate-Oxide Overstress in a 130-nm CMOS Process

PAPER Circuit Performance Degradation of Switched-Capacitor Circuit with Bootstrapped Technique due to Gate-Oxide Overstress in a 130-nm CMOS Process 378 PAPER Circuit Performance Degradation of Switched-Capacitor Circuit with Bootstrapped Technique due to Gate-Oxide Overstress in a 130-nm CMOS Process Jung-Sheng CHEN, Nonmember and Ming-Dou KER a),

More information

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs 1838 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 10, OCTOBER 2000 Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

More information

GENERALLY speaking, to decrease the size and weight of

GENERALLY speaking, to decrease the size and weight of 532 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 24, NO. 2, FEBRUARY 2009 A Low-Consumption Regulated Gate Driver for Power MOSFET Ren-Huei Tzeng, Student Member, IEEE, and Chern-Lin Chen, Senior Member,

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier 852 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 7, JULY 2002 A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier Ryuichi Fujimoto, Member, IEEE, Kenji Kojima, and Shoji Otaka Abstract A 7-GHz low-noise amplifier

More information

In Search of Powerful Circuits: Developments in Very High Frequency Power Conversion

In Search of Powerful Circuits: Developments in Very High Frequency Power Conversion Massachusetts Institute of Technology Laboratory for Electromagnetic and Electronic Systems In Search of Powerful Circuits: Developments in Very High Frequency Power Conversion David J. Perreault Princeton

More information

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Marvin Onabajo Assistant Professor Analog and Mixed-Signal Integrated Circuits (AMSIC) Research Laboratory Dept.

More information

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS -3GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS Hyohyun Nam and Jung-Dong Park a Division of Electronics and Electrical Engineering, Dongguk University, Seoul E-mail

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY 2006 425 A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up Jae-Youl Lee, Member, IEEE, Sung-Eun Kim, Student Member, IEEE,

More information

Negative high voltage DC-DC converter using a New Cross-coupled Structure

Negative high voltage DC-DC converter using a New Cross-coupled Structure Negative high voltage DC-DC converter using a New Cross-coupled Structure Jun Zhao 1, Kyung Ki Kim 2 and Yong-Bin Kim 3 1 Marvell Technology, USA 2 Department of Electronic Engineering, Daegu University,

More information

THE reference spur for a phase-locked loop (PLL) is generated

THE reference spur for a phase-locked loop (PLL) is generated IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 653 Spur-Suppression Techniques for Frequency Synthesizers Che-Fu Liang, Student Member, IEEE, Hsin-Hua Chen, and

More information

Reduction of Minimum Operating Voltage (V DDmin ) of CMOS Logic Circuits with Post-Fabrication Automatically Selective Charge Injection

Reduction of Minimum Operating Voltage (V DDmin ) of CMOS Logic Circuits with Post-Fabrication Automatically Selective Charge Injection Reduction of Minimum Operating Voltage (V min ) of CMOS Logic Circuits with Post-Fabrication Automatically Selective Charge Injection Kentaro Honda, Katsuyuki Ikeuchi, Masahiro Nomura *, Makoto Takamiya

More information

What About Switched Capacitor Converters?

What About Switched Capacitor Converters? What About Switched Capacitor Converters? Grad Students: Michael Seeman, Vincent Ng, and Hanh-Phuc Le Profs. Seth Sanders and Elad Alon EECS Department, UC Berkeley Switched Capacitor Power Converters

More information

Development of a Switched-Capacitor DC DC Converter with Bidirectional Power Flow

Development of a Switched-Capacitor DC DC Converter with Bidirectional Power Flow IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: FUNDAMENTAL THEORY AND APPLICATIONS, VOL. 47, NO. 9, SEPTEMBER 2000 383 Development of a Switched-Capacitor DC DC Converter with Bidirectional Power Flow Henry

More information

José Gerardo Vieira da Rocha Nuno Filipe da Silva Ramos. Small Size Σ Analog to Digital Converter for X-rays imaging Aplications

José Gerardo Vieira da Rocha Nuno Filipe da Silva Ramos. Small Size Σ Analog to Digital Converter for X-rays imaging Aplications José Gerardo Vieira da Rocha Nuno Filipe da Silva Ramos Small Size Σ Analog to Digital Converter for X-rays imaging Aplications University of Minho Department of Industrial Electronics This report describes

More information

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier Hugo Serra, Nuno Paulino, and João Goes Centre for Technologies and Systems (CTS) UNINOVA Dept. of Electrical Engineering

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

High-Conversion-Ratio Switched-Capacitor Step-Up DC-DC Converter

High-Conversion-Ratio Switched-Capacitor Step-Up DC-DC Converter High-Conversion-Ratio Switched-Capacitor Step-Up DC-DC Converter Yuen-Haw Chang and Chen-Wei Lee Abstract A closed-loop scheme of high-conversion-ratio switched-capacitor (HCRSC) converter is proposed

More information

DESIGN OF RING OSCILLATOR USING CS-CMOS FOR MIXED SIGNAL SOCS

DESIGN OF RING OSCILLATOR USING CS-CMOS FOR MIXED SIGNAL SOCS International Journal of Electrical and Electronics Engineering (IJEEE) ISSN 2278-9944 Vol. 2, Issue 2, May 2013, 21-26 IASET DESIGN OF RING OSCILLATOR USING CS-CMOS FOR MIXED SIGNAL SOCS VINOD KUMAR &

More information

Technical Paper FA 10.3

Technical Paper FA 10.3 Technical Paper A 0.9V 150MHz 10mW 4mm 2 2-D Discrete Cosine Transform Core Processor with Variable-Threshold-Voltage Scheme Tadahiro Kuroda, Tetsuya Fujita, Shinji Mita, Tetsu Nagamatu, Shinichi Yoshioka,

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

Modulator with Op- Amp Gain Compensation for Nanometer CMOS Technologies

Modulator with Op- Amp Gain Compensation for Nanometer CMOS Technologies A. Pena Perez, V.R. Gonzalez- Diaz, and F. Maloberti, ΣΔ Modulator with Op- Amp Gain Compensation for Nanometer CMOS Technologies, IEEE Proceeding of Latin American Symposium on Circuits and Systems, Feb.

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

On-Chip di/dt Detector Circuit

On-Chip di/dt Detector Circuit 782 IEICE TRANS. ELECTRON., VOL.E88 C, NO.5 MAY 2005 PAPER Special Section on Microelectronic Test Structures On-Chip di/dt Detector Circuit Toru NAKURA a), Student Member, Makoto IKEDA, and Kunihiro ASADA,

More information

TRIANGULATION-BASED light projection is a typical

TRIANGULATION-BASED light projection is a typical 246 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 1, JANUARY 2004 A 120 110 Position Sensor With the Capability of Sensitive and Selective Light Detection in Wide Dynamic Range for Robust Active Range

More information

BIOLOGICAL and environmental real-time monitoring

BIOLOGICAL and environmental real-time monitoring 290 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 4, APRIL 2010 An Energy-Efficient Subthreshold Level Converter in 130-nm CMOS Stuart N. Wooters, Student Member, IEEE, Benton

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Juliet Abraham 1, Dr. B. Paulchamy 2 1 PG Scholar, Hindusthan institute of Technology, coimbtore-32, India 2 Professor and HOD,

More information

DIFFERENTIAL power analysis (DPA) attacks can obtain

DIFFERENTIAL power analysis (DPA) attacks can obtain 438 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 5, MAY 2016 Charge-Withheld Converter-Reshuffling: A Countermeasure Against Power Analysis Attacks Weize Yu and Selçuk Köse,

More information

Analysis and Design of a Current-Mode PWM Buck Converter Adopting the Output-Voltage Independent Second-Order Slope Compensation Scheme

Analysis and Design of a Current-Mode PWM Buck Converter Adopting the Output-Voltage Independent Second-Order Slope Compensation Scheme 490 IEICE TRANS. FUNDAMENTALS, VOL.E88 A, NO.2 FEBRUARY 2005 PAPER Special Section on Analog Circuit Techniques and Related Topics Analysis and Design of a Current-Mode PWM Buck Converter Adopting the

More information

MUCH research work has been recently focused on the

MUCH research work has been recently focused on the 398 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 Dynamic Hysteresis Band Control of the Buck Converter With Fast Transient Response Kelvin Ka-Sing Leung, Student

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M. Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.Nagabhushan #2 #1 M.Tech student, Dept. of ECE. M.S.R.I.T, Bangalore, INDIA #2 Asst.

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 51, NO. 2, FEBRUARY

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 51, NO. 2, FEBRUARY IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 51, NO. 2, FEBRUARY 2016 543 A Successive-Approximation Switched-Capacitor DC DC Converter With Resolution of V IN /2 N for a Wide Range of Input and Output Voltages

More information

Features MIC2193BM. Si9803 ( 2) 6.3V ( 2) VDD OUTP COMP OUTN. Si9804 ( 2) Adjustable Output Synchronous Buck Converter

Features MIC2193BM. Si9803 ( 2) 6.3V ( 2) VDD OUTP COMP OUTN. Si9804 ( 2) Adjustable Output Synchronous Buck Converter MIC2193 4kHz SO-8 Synchronous Buck Control IC General Description s MIC2193 is a high efficiency, PWM synchronous buck control IC housed in the SO-8 package. Its 2.9V to 14V input voltage range allows

More information

High Power Density Power Management IC Module with On-Chip Inductors

High Power Density Power Management IC Module with On-Chip Inductors Laboratory for Power Management and Integrated SMPS High Power Density Power Management IC Module with On-Chip Inductors S M Ahsanuzzaman (Ahsan) Aleksandar Prodić David A. Johns Zoran Pavlović Ningning

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

A Dual-Clamped-Voltage Coupled-Inductor Switched-Capacitor Step-Up DC-DC Converter

A Dual-Clamped-Voltage Coupled-Inductor Switched-Capacitor Step-Up DC-DC Converter , March 14-16, 2018, Hong Kong A Dual-Clamped-Voltage Coupled-Inductor Switched-Capacitor Step-Up DC-DC Converter Yuen-Haw Chang and Dian-Lin Ou Abstract A closed-loop high-gain dual-clamped-voltage coupled-inductor

More information

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 49, NO. 4, AUGUST 2002 1819 Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit Tae-Hoon Lee, Gyuseong Cho, Hee Joon Kim, Seung Wook Lee, Wanno Lee, and

More information

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC 1 S.Varalakshmi, 2 M. Rajmohan, M.Tech, 3 P. Pandiaraj, M.Tech 1 M.Tech Department of ECE, 2, 3 Asst.Professor, Department of ECE, 1,

More information

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier Thutivaka Vasudeepthi 1, P.Malarvezhi 2 and R.Dayana 3 1-3 Department of ECE, SRM University SRM Nagar, Kattankulathur, Kancheepuram

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR B. Sathiyabama 1, Research Scholar, Sathyabama University, Chennai, India, mathumithasurya@gmail.com Abstract Dr. S. Malarkkan 2, Principal,

More information

2 IEICE TRANS. FUNDAMENTAS, VO.Exx??, NO.xx XXXX 200x Fig. 1 Block diagram of a PWM buck DC-DC converter with the current-mode control control loop. T

2 IEICE TRANS. FUNDAMENTAS, VO.Exx??, NO.xx XXXX 200x Fig. 1 Block diagram of a PWM buck DC-DC converter with the current-mode control control loop. T IEICE TRANS. FUNDAMENTAS, VO.Exx??, NO.xx XXXX 200x 1 PAPER Analysis and Design of a Current-mode PWM Buck Converter adopting the output-voltage independent Second-order Slope Compensation scheme Hiroki

More information

ISSN:

ISSN: High Frequency Power Optimized Ring Voltage Controlled Oscillator for 65nm CMOS Technology NEHA K.MENDHE 1, M. N. THAKARE 2, G. D. KORDE 3 Department of EXTC, B.D.C.O.E, Sevagram, India, nehakmendhe02@gmail.com

More information

Phase-shift self-oscillating class-d audio amplifier with multiple-pole feedback filter

Phase-shift self-oscillating class-d audio amplifier with multiple-pole feedback filter Phase-shift self-oscillating class-d audio amplifier with multiple-pole feedback filter Hyungjin Lee, Hyunsun Mo, Wanil Lee, Mingi Jeong, Jaehoon Jeong 2, and Daejeong Kim a) Department of Electronics

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

VLSI Based Design of Low Power and Linear CMOS Temperature Sensor

VLSI Based Design of Low Power and Linear CMOS Temperature Sensor VLSI Based Design of Low Power and Linear CMOS Temperature Sensor Poorvi Jain 1, Pramod Kumar Jain 2 1 Research Scholar (M.Teh), Department of Electronics and Instrumentation,SGSIS, Indore 2 Associate

More information

Regulator-Gating: Adaptive Management of On-Chip Voltage Regulators

Regulator-Gating: Adaptive Management of On-Chip Voltage Regulators Regulator-Gating: Adaptive Management of On-Chip Voltage Regulators Selçuk Köse Department of Electrical Engineering University of South Florida Tampa, Florida kose@usf.edu ABSTRACT Design-for-power has

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES M.Ragulkumar 1, Placement Officer of MikrosunTechnology, Namakkal, ragulragul91@gmail.com 1. Abstract Wide Range

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

A new class AB folded-cascode operational amplifier

A new class AB folded-cascode operational amplifier A new class AB folded-cascode operational amplifier Mohammad Yavari a) Integrated Circuits Design Laboratory, Department of Electrical Engineering, Amirkabir University of Technology, Tehran, Iran a) myavari@aut.ac.ir

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

MIC4414/4415. General Description. Features. Applications. Typical Application. 1.5A, 4.5V to 18V, Low-Side MOSFET Driver

MIC4414/4415. General Description. Features. Applications. Typical Application. 1.5A, 4.5V to 18V, Low-Side MOSFET Driver MIC4414/4415 1.5A, 4.5V to 18V, Low-Side MOSFET Driver General Description The MIC4414 and MIC4415 are low-side MOSFET drivers designed to switch an N-channel enhancement type MOSFET in low-side switch

More information

High Current Voltage Regulator Module (VRM) Uses DirectFET MOSFETs to Achieve Current Densities of 25A/in2 at 1MHz to Power 32-bit Servers

High Current Voltage Regulator Module (VRM) Uses DirectFET MOSFETs to Achieve Current Densities of 25A/in2 at 1MHz to Power 32-bit Servers High Current Voltage Regulator Module (VRM) Uses DirectFET MOSFETs to Achieve Current Densities of 25A/in2 at 1MHz to Power 32-bit Servers Ralph Monteiro, Carl Blake and Andrew Sawle, Arthur Woodworth

More information

WITH mobile communication technologies, such as longterm

WITH mobile communication technologies, such as longterm IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 206 533 A Two-Stage Broadband Fully Integrated CMOS Linear Power Amplifier for LTE Applications Kihyun Kim, Jaeyong Ko,

More information

Design of Optimized Digital Logic Circuits Using FinFET

Design of Optimized Digital Logic Circuits Using FinFET Design of Optimized Digital Logic Circuits Using FinFET M. MUTHUSELVI muthuselvi.m93@gmail.com J. MENICK JERLINE jerlin30@gmail.com, R. MARIAAMUTHA maria.amutha@gmail.com I. BLESSING MESHACH DASON blessingmeshach@gmail.com.

More information