DSPACE BASED FUZZY LOGIC CONTROLLED BOOST CONVERTER

Size: px
Start display at page:

Download "DSPACE BASED FUZZY LOGIC CONTROLLED BOOST CONVERTER"

Transcription

1 36 DSPACE BASED FUZZY OGIC CONTOED BOOST CONVETE İbrahim SEFA, Necmi ATIN, Şaban ÖZDEMİ Department of Electrical Education, Faculty of Technical Education, GEMEC Group, Gazi University, Besevler, AnkaraTUKEY Keywords: Boost converter, fuzzy logic controller, dspace Abstract: In this study, an IGBT equipped boost converter is proposed. A fuzzy logic controller is used to control the output voltage of the boost converter. Simulation and experimental results show that fuzzy logic controlled boost converter has fast transient response, better steadystate response, and the proposed converter is less sensitive to load changes. 1. INTODUCTION DCDC converters are widely used in switchedmode power supplies, adjustable speed drives, uninterruptible power supplies and many other applications to change the level of an input voltage to fulfill required operating conditions. These converters are usually subjected of large load variations when operated in these applications. Therefore, the main objective of a good control strategy to be developed for such converters must be to achieve an output voltage regulation, under large load variations, as fast as possible without having any stability problem [1]. Many control strategies have been proposed in recent publications. inear PID and PI controllers are usually designed for DCDC converters using standard frequency response techniques based on the small signal model of the converter. A Bode plot is used in the design to obtain the desired loop gain, crossover frequency and phase margin. These control strategies that are based on the linearized smallsignal model of the converter have good performance around the operating point. However, a boost converter s small signal model changes when the operating point varies. The poles and a righthalf plane zero, as well as the magnitude of the frequency response, are all dependent on the duty cycle. Therefore, it is difficult for the PID controller to respond well to changes in operating point, and they exhibit poor performance when the system is subjected of a large load variation [23]. Fuzzy logic control theory is a mathematical discipline based on vagueness and uncertainty. The fuzzy control does not need an accurate mathematical model of a plant. It allows one to use nonprecise or illdefined concepts. Fuzzy logic control is also nonlinear and adaptive in nature that gives it robust performance under parameter variation and load disturbances [4]. This control technique relies on the human capability to understand the system s behavior and is based on qualitative control rules. Thus, control design is simple since it is only based on IF...THEN linguistic rules [5]. In this paper, a fuzzy logic controlled boost converter is proposed. A Fuzzy ogic Controller (FC) is used to control the converter output voltage. The boost converter is designed and simulated by using MATAB/Simulink software. Then dspace based fuzzy logic controlled boost converter is implemented and tested under large load changes. Simulation and experimental results shows that fuzzy logic controlled boost converter has fast transient response and better steadystate response.

2 İ. SEFA, N. ATIN, Ş. ÖZDEMİ Dspace Based Fuzzy ogic Controlled Boost Converter 37 This paper organized as fallows: Section II describes mathematical model of the boost converter. Section III introduces the FC. Section IV presents the simulation and experimental results of fuzzy logic controlled boost converter and Section V is the conclusions. 2. MATHEMATICA MODE OF THE BOOST CONVETE The dcdc boost converter circuit is shown in Fig. 1. By considering this circuit, the equations describing the operation of the converter can be written as: I V S S C V 0 D Fig. 1. The boost converter dv 0 0 C V = (6) The control approach is to determine a control signal d that achieves a good output voltage regulation in the presence of disturbances such as step changes in load or in the source voltage, and converter parameter changes. Also, it should improve the damping and reduce the recovery time by decreasing the overshoots and undershoots [1]. 3. FUZZY OGIC CONTOE FO BOOST CONVETE Fuzzy ogic Controller is one of the most successful applications of fuzzy set theory, introduced by Zadeh in 1965 [6]. Its major features are the use of linguistic variables rather than numerical variables. The general structure of the FC is shown in Fig 2. As seen from Fig. 2, a FC is comprises fuzzifier, knowledge base, inference engine and defuzzifier. di = VS dv 0 (1) dv C V0 = di (2) 0 where d is the control signal equal to 1 when the switch is ON and 0 when the switch is OFF. The above equations can also be written as [1]: Switch ON: di dv C Switch OFF: di = V (3) S V 0 V0 = I (4) 0 = VS (5) Fig. 2. Structure of FC Defining the input and output variables is one of the important steps in the fuzzy controller design. In this study, the output voltage error and its rate of change are defined as input variables and change in duty cycle is the controller output variable. The three variables of the FC, the error, the change in error and the change in duty cycle, have seven triangle membership functions for each. The basic fuzzy sets of membership functions for the variables are as shown in the Figs. 3 and 4.

3 i 38 UNIVESITY OF PITESTI EECTONICS AND COMPUTES SCIENCE, SCIENTIFIC BUETIN, No. 8, Vol.2, 2008 Fig. 3. Membership functions for error and change in error The fuzzy variables are expressed by linguistic variables positive large (P), positive medium (PM), positive small (PS), zero (Z), negative small (NS), negative medium (NM), negative large (N), for all three variables. Table 1 shows the rule base for the FC. A rule in the rule base can be expressed in the form: If (e is N) and (de is N), then (cd is N). The rules are set based upon the knowledge and working of the system. The rule base adjusts the duty ratio for the PWM of the boost converter based upon the changes in the input of the FC. The number of rules can be set as desired. The rule base includes 49 rules, which are based upon the seven membership functions of the input variables. The rule base of the FC is shown in Table 1. Fig. 4. Membership functions for change in duty cycle The commonly used Min Max inference method is implemented. Defuzzification is done using center of gravity method to generate nonfuzzy control signal for change in duty cycle of the PWM switching of boost converter [7]. Change in error (ce) Table 1. ule base of FC Error (e) N NM NS Z PS PM P N N N N N NM NS Z NM N N N NM NS Z PS NS N N NM NS Z PS PM Z N NM NS Z PS PM P PS NM NS Z PS PM P P PM NS Z PS PM P P P P Z PS PM P P P P [I] Series C Branch i Input I Iinput Diode i Iload Ioad [Iload] Vs v Vsource Vload1 [Vsource] [G_boost] Ic Ic Vload v Step1 g 2 1 Ideal Switch g C oad oad1 IGBT/Diode [IC] Vload E 1 z Unit Delay Step e 1/9 Gain1 0<Id<0.1 e Out1 Fuzzy ogic Controller 1 Gain2 Add 0<Id<0.999 Triangle1 >= oolea [G_boost] Vload Subsystem Fig. 5. Simulink model of the boost converter.

4 İ. SEFA, N. ATIN, Ş. ÖZDEMİ Dspace Based Fuzzy ogic Controlled Boost Converter SIMUATION AND EXPEIMENTA ESUTS A fuzzy logic controlled boost converter is design and implemented. Firstly, boost converter is modeled and simulated with MATAB/Simulink [8]. Output voltage of the boost converter is control with FC. The FC is designed with Fuzzy ogic Toolbox [9]. Simulink model of the simulated system is shown in Fig. 5. IGBT is used in boost convert and switching frequency chosen as 20kHz. So it can be said that only the boost inductor limits the output power of the converter, and a high power converter can be easily implemented. DS1104 dspace controller board is used in boost converter controller system. dspace control cards are quite popular because of integrating the MATAB/Simulink simulations and hardware control in a system and widely used in controlling motors and power electronic converters [10]. DS1104 Controller Board is placed in the PCI slot on the mainboard of PC. The DS1104 contains a main processor and a slave Digital Signal Processor (DSP). The main processor is a 603 PowerPC, running at 250MHz with 32 MB of SDAM, and the slave DSP is a TMS320F240, Texas Instrument floatingpoint DSP, 20MHz CPU clock [11]. Moreover, the dspace software includes a graphical objectoriented package (the Control Desk) to develop userfriendly graphical user interfaces (GUI) for online monitoring and supervision. Operation, all kind of analog and digital signals and variables of the system can be monitored in real time by using GUI. The ControlDesk is also used to load code to board, run or stop the program [11,12]. These GUIs shorten the design period and can visualize the control parameters [10]. In Fig 6, the GUI whish is designed for fuzzy logic controlled boost converter is shown. Fig. 7 shows the transient response of the boost converter when the reference voltage is changed. eference voltage (V EF ), converter output voltage (V 0 ), converter input voltage (V in ) and converter output current (I 0 ) is seen in figure. The reference voltage is switched 40V to 50V at t=25ms. As seen from figure, output voltage is tracks the reference voltage with a small overshot and small settling time. Improved steadystate performance of the controller is also shown in the figure. Fig. 6. GUI of fuzzy logic controlled boost converter

5 40 UNIVESITY OF PITESTI EECTONICS AND COMPUTES SCIENCE, SCIENTIFIC BUETIN, No. 8, Vol.2, 2008 the C source code is generated and loaded to the processor automatically. Experimental results for Fig. 7. Transient response for reference voltage variation Fig. 9. Boost converter response for reference voltage variation Boost converter output voltage transient response is shown in Fig. 8 when the load is changed from 50% to 100% and from 100% to 50%. It is seen large load variations have very limited effects on the output voltage of the fuzzy logic controlled boost converter. A very small voltage decrease and overshot occurs on output voltage, and this voltage disturbance is removed in 2 milliseconds. The boost converter has fast transient response and very small steadystate error. dspace based FC controlled boost converter is given in Figs In Fig. 9 converter transient response is shown when the reference voltage is changed. As seen from figure, converter output voltage tracks the reference voltage with very small overshot and small response time The transient response of the boost converter when the load changes from 50% to 100% and from 100% to 50% in Figs. 10 and Fig. 11, respectively. Experimental results are similar with the simulation results. The FC has improved transient response and so, load changes have very limited effect on the boost converter output voltage and FC removes the voltage error quickly. Fig. 8. Transient response for load variation After the simulation task is completed, the dspace blocks that are used to read analog signals and generate switching signals are added to Simulink model. When this model is Build, Fig. 10. Half to full load switching interval

6 İ. SEFA, N. ATIN, Ş. ÖZDEMİ Dspace Based Fuzzy ogic Controlled Boost Converter 41 EFEENCES Fig. 11. Full to half load switching interval 5. CONCUSIONS In this study a dspace based fuzzy logic controlled boost converter is designed and implemented. The boost converter is IGBT equipped and the switching frequency is selected as 20 khz. So, only the inductor size may limit the power level of the converter, and it can be said that the converter can be easily implemented in high power levels. The FC is designed with Fuzzy ogic Toolbox and the simulations are performed in MATAB/Simulink. Simulation and experimental results show that boost converter has fast transient response and better steadystate response under the variable load conditions. The GUI designed with ControlDesk provides to monitor the analog and digital signals, and control variables. The system design duration is shortened by using of the dspace control system. [1] H. KÖMÜCÜGI, A PItype selftuning fuzzy controller for dcdc boost converters, The 30 th Annual Conference of the IEEE Industrial Electronics Society, 2004, pp [2].D. MIDDEBOOK, S. CUK, A general unified approach to modeling switching converter power stages, IEEE Power Electronics Specialist (PESC) Conf. Proc., 1976, pp [3]. GUO, J.Y. HUNG,.M. NEMS, Comparative evaluation of linear pid and fuzzy control for a boost converter, 31 st Annual Conference of IEEE Industrial Electronics Society, IECON 2005, 2005, pp [4] B.. IN, Analysis of fuzzy control method applied to dcdc converter control, Applied Power Electronics Conference and Exposition, APEC '93, 1993, pp [5] P. MATTAVEI,. OSSETTO, G. SPIAZZI, P. TENTI, Generalpurpose fuzzy controller for dc dc converters, IEEE Transactions on Power Electronics, Vol. 12, No. 1, 1997, pp [6]. A ZADEH, Outline of a new approach of the analysis of complex system and decision processes, IEEE Trans. Syst., Man, Cybern., Vol. SMC3, No. 1, 1963, pp [7].X. WANG, Stable adaptive fuzzy control of nonlinear systems, IEEE Transactions on Fuzzy Systems, Vol. 1 (2), 1993, pp [8] The Mathworks Inc., MATAB/SIMUINK elease Notes for elease 14 with Service Pack 3. [9] Fuzzy ogic Toolbox 2 User Guide, The Mathworks, Inc., [10] H.. I, A.P. HU, J. GAO, X. DAI, Development of a direct acac converter based on a dspace platform, International Conference on Power System Technology, 2006, pp. 1 6 [11] DS1104 &D Controller Board Features, elease 5.0 November 2005 [12] dspace User s Guide, Digital Signal Processing and Control Engineering, Germany.

SIMULATION OF FUZZY LOGIC CONTROLLED GRID INTERACTIVE INVERTER

SIMULATION OF FUZZY LOGIC CONTROLLED GRID INTERACTIVE INVERTER 30 SIMULATION OF FUZZY LOGIC CONTROLLED GRID INTERACTIVE INVERTER İbrahim SEFA, Necmi ALTIN Department of Electrical Education, Faculty of Technical Education, GEMEC Group, Gazi University, 06500 Besevler,

More information

Fuzzy Controllers for Boost DC-DC Converters

Fuzzy Controllers for Boost DC-DC Converters IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735 PP 12-19 www.iosrjournals.org Fuzzy Controllers for Boost DC-DC Converters Neethu Raj.R 1, Dr.

More information

Fuzzy Logic Controller on DC/DC Boost Converter

Fuzzy Logic Controller on DC/DC Boost Converter 21 IEEE International Conference on Power and Energy (PECon21), Nov 29 - Dec 1, 21, Kuala Lumpur, Malaysia Fuzzy Logic Controller on DC/DC Boost Converter N.F Nik Ismail, Member IEEE,Email: nikfasdi@yahoo.com

More information

High Efficiency DC/DC Buck-Boost Converters for High Power DC System Using Adaptive Control

High Efficiency DC/DC Buck-Boost Converters for High Power DC System Using Adaptive Control American-Eurasian Journal of Scientific Research 11 (5): 381-389, 2016 ISSN 1818-6785 IDOSI Publications, 2016 DOI: 10.5829/idosi.aejsr.2016.11.5.22957 High Efficiency DC/DC Buck-Boost Converters for High

More information

Digital Simulation and Analysis of Sliding Mode Controller for DC-DC Converter using Simulink

Digital Simulation and Analysis of Sliding Mode Controller for DC-DC Converter using Simulink Volume-7, Issue-3, May-June 2017 International Journal of Engineering and Management Research Page Number: 367-371 Digital Simulation and Analysis of Sliding Mode Controller for DC-DC Converter using Simulink

More information

Application of Fuzzy Logic Controller in Shunt Active Power Filter

Application of Fuzzy Logic Controller in Shunt Active Power Filter IJIRST International Journal for Innovative Research in Science & Technology Volume 2 Issue 11 April 2016 ISSN (online): 2349-6010 Application of Fuzzy Logic Controller in Shunt Active Power Filter Ketan

More information

CHAPTER 6 NEURO-FUZZY CONTROL OF TWO-STAGE KY BOOST CONVERTER

CHAPTER 6 NEURO-FUZZY CONTROL OF TWO-STAGE KY BOOST CONVERTER 73 CHAPTER 6 NEURO-FUZZY CONTROL OF TWO-STAGE KY BOOST CONVERTER 6.1 INTRODUCTION TO NEURO-FUZZY CONTROL The block diagram in Figure 6.1 shows the Neuro-Fuzzy controlling technique employed to control

More information

High Frequency Soft Switching Boost Converter with Fuzzy Logic Controller

High Frequency Soft Switching Boost Converter with Fuzzy Logic Controller High Frequency Soft Switching Boost Converter with Fuzzy Logic Controller 1 Anu Vijay, 2 Karthickeyan V, 3 Prathyusha S PG Scholar M.E- Control and Instrumentation Engineering, EEE Department, Anna University

More information

VOLTAGE MODE CONTROL OF SOFT SWITCHED BOOST CONVERTER BY TYPE II & TYPE III COMPENSATOR

VOLTAGE MODE CONTROL OF SOFT SWITCHED BOOST CONVERTER BY TYPE II & TYPE III COMPENSATOR 1002 VOLTAGE MODE CONTROL OF SOFT SWITCHED BOOST CONVERTER BY TYPE II & TYPE III COMPENSATOR NIKITA SINGH 1 ELECTRONICS DESIGN AND TECHNOLOGY, M.TECH NATIONAL INSTITUTE OF ELECTRONICS AND INFORMATION TECHNOLOGY

More information

CHAPTER 4 FUZZY LOGIC CONTROLLER

CHAPTER 4 FUZZY LOGIC CONTROLLER 62 CHAPTER 4 FUZZY LOGIC CONTROLLER 4.1 INTRODUCTION Unlike digital logic, the Fuzzy Logic is a multivalued logic. It deals with approximate perceptive rather than precise. The effective and efficient

More information

Implementation of Fuzzy Logic Controller (FLC) for DC-DC Boost Converter Using Matlab/Simulink

Implementation of Fuzzy Logic Controller (FLC) for DC-DC Boost Converter Using Matlab/Simulink International Journal of Sensors and Sensor Networks 2017; 5(5-1): 1-5 http://www.sciencepublishinggroup.com/j/ijssn doi: 10.11648/j.ijssn.s.2017050501.11 Conference Paper Implementation of Fuzzy ogic

More information

Design of an Intelligent Pressure Control System Based on the Fuzzy Self-tuning PID Controller

Design of an Intelligent Pressure Control System Based on the Fuzzy Self-tuning PID Controller Design of an Intelligent Pressure Control System Based on the Fuzzy Self-tuning PID Controller 1 Deepa S. Bhandare, 2 N. R.Kulkarni 1,2 Department of Electrical Engineering, Modern College of Engineering,

More information

Comparative Study of PI Controlled and Fuzzy Controlled Buck Converter

Comparative Study of PI Controlled and Fuzzy Controlled Buck Converter Comparative Study of PI Controlled and Fuzzy Controlled Buck Converter Neetu Sharma 1, Dr.Pradyumn Chaturvedi 2, Rahul Dubey 3 1 PG final year scholar, Dept of Eelectrical Engg, Samrat Ashok Technological

More information

A CONTROL STRATEGY TO STABILIZE PWM DC-DC BUCK CONVERTER WITH INPUT FILTER USING FUZZY-PI AND ITS COMPARISON USING PI AND FUZZY CONTROLLERS

A CONTROL STRATEGY TO STABILIZE PWM DC-DC BUCK CONVERTER WITH INPUT FILTER USING FUZZY-PI AND ITS COMPARISON USING PI AND FUZZY CONTROLLERS A CONTROL STRATEGY TO STABILIZE PWM DC-DC BUCK CONVERTER WITH INPUT FILTER USING FUZZY-PI AND ITS COMPARISON USING PI AND FUZZY CONTROLLERS 1 CH.SUSILA, 2 B.RAJASEKHAR 1 Post Graduation student (Control

More information

ADVANCES in NATURAL and APPLIED SCIENCES

ADVANCES in NATURAL and APPLIED SCIENCES ADVANCES in NATURAL and APPLIED SCIENCES ISSN: 1995-0772 Published BYAENSI Publication EISSN: 1998-1090 http://www.aensiweb.com/anas 2017 April 11(4): pages 402-409 Open Access Journal Design and Implementation

More information

Comparison of Buck-Boost and CUK Converter Control Using Fuzzy Logic Controller

Comparison of Buck-Boost and CUK Converter Control Using Fuzzy Logic Controller ISSN (Online) : 2319-8753 ISSN (Print) : 2347-6710 International Journal of Innovative Research in Science, Engineering and Technology Volume 3, Special Issue 3, March 2014 2014 International Conference

More information

Performance Improvement of Buck-Boost Converter Using Fuzzy Logic Controller

Performance Improvement of Buck-Boost Converter Using Fuzzy Logic Controller International Journal of Engineering Research And Management (IJERM) ISSN : 2349-2058, Volume-04, Issue-10, October 2017 Performance Improvement of Buck-Boost Converter Using Fuzzy Logic Controller B.

More information

ISSN: [Appana* et al., 5(10): October, 2016] Impact Factor: 4.116

ISSN: [Appana* et al., 5(10): October, 2016] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY FUZZY LOGIC CONTROL BASED PID CONTROLLER FOR STEP DOWN DC-DC POWER CONVERTER Dileep Kumar Appana *, Muhammed Sohaib * Lead Application

More information

Development of a Fuzzy Logic Controller for Industrial Conveyor Systems

Development of a Fuzzy Logic Controller for Industrial Conveyor Systems American Journal of Science, Engineering and Technology 217; 2(3): 77-82 http://www.sciencepublishinggroup.com/j/ajset doi: 1.11648/j.ajset.21723.11 Development of a Fuzzy Logic Controller for Industrial

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 6, June ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 6, June ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 6, June-2014 64 Voltage Regulation of Buck Boost Converter Using Non Linear Current Control 1 D.Pazhanivelrajan, M.E. Power Electronics

More information

Performance Analysis of Fuzzy Logic And PID Controller for PM DC Motor Drive Khalid Al-Mutib 1, N. M. Adamali Shah 2, Ebrahim Mattar 3

Performance Analysis of Fuzzy Logic And PID Controller for PM DC Motor Drive Khalid Al-Mutib 1, N. M. Adamali Shah 2, Ebrahim Mattar 3 Performance Analysis of Fuzzy Logic And PID Controller for PM DC Motor Drive Khalid Al-Mutib 1, N. M. Adamali Shah 2, Ebrahim Mattar 3 1 King Saud University, Riyadh, Saudi Arabia, muteb@ksu.edu.sa 2 King

More information

HIGH-PERFORMANCE DOUBLE BOOST DC-DC CONVERTER BASED ON FUZZY LOGIC CONTROLLER

HIGH-PERFORMANCE DOUBLE BOOST DC-DC CONVERTER BASED ON FUZZY LOGIC CONTROLLER Mechatronics and Applications: An International Journal (MECHATROJ), ol. 2, No. HIGH-PERFORMANCE DOUBLE BOOST DC-DC CONERTER BASED ON FUZZY LOGIC CONTROLLER Moe Moe Lwin Department of Mechatronics Engineering,

More information

DC-DC converters represent a challenging field for sophisticated

DC-DC converters represent a challenging field for sophisticated 222 IEEE TRANSACTIONS ON CONTROL SYSTEMS TECHNOLOGY, VOL. 7, NO. 2, MARCH 1999 Design of a Robust Voltage Controller for a Buck-Boost Converter Using -Synthesis Simone Buso, Member, IEEE Abstract This

More information

Control of DC-DC Buck Boost Converter Output Voltage Using Fuzzy Logic Controller

Control of DC-DC Buck Boost Converter Output Voltage Using Fuzzy Logic Controller International Journal of Control Theory and Applications ISSN : 0974-5572 International Science Press Volume 10 Number 25 2017 Control of DC-DC Buck Boost Converter Output Voltage Using Fuzzy Logic Controller

More information

Fuzzy Sliding Mode Control of a Parallel DC-DC Buck Converter

Fuzzy Sliding Mode Control of a Parallel DC-DC Buck Converter Fuzzy Sliding Mode Control of a Parallel DC-DC Buck Converter A Sahbani, K Ben Saad, M Benreeb ARA Automatique Ecole Nationale d'ingénieurs de Tunis (ENIT, Université de Tunis El Manar, BP 7, le Belvédère,,

More information

Digital Control of MS-150 Modular Position Servo System

Digital Control of MS-150 Modular Position Servo System IEEE NECEC Nov. 8, 2007 St. John's NL 1 Digital Control of MS-150 Modular Position Servo System Farid Arvani, Syeda N. Ferdaus, M. Tariq Iqbal Faculty of Engineering, Memorial University of Newfoundland

More information

Simulation of Synchronous Machine in Stability Study for Power System: Garri Station as a Case Study

Simulation of Synchronous Machine in Stability Study for Power System: Garri Station as a Case Study Simulation of Synchronous Machine in Stability Study for Power System: Garri Station as a Case Study Bahar A. Elmahi. Industrial Research & Consultancy Center, baharelmahi@yahoo.com Abstract- This paper

More information

Fuzzy Controlled DSTATCOM for Voltage Sag Compensation and DC-Link Voltage Improvement

Fuzzy Controlled DSTATCOM for Voltage Sag Compensation and DC-Link Voltage Improvement olume 3, Issue April 4 Fuzzy Controlled DSTATCOM for oltage Sag Compensation and DC-ink oltage Improvement Shipra Pandey Dr. S.Chatterji Ritula Thakur E.E Department E.E Department E.E Department NITTTR

More information

International Research Journal of Power and Energy Engineering. Vol. 3(2), pp , November, ISSN: x

International Research Journal of Power and Energy Engineering. Vol. 3(2), pp , November, ISSN: x International Research Journal of Power and Energy Engineering Vol. 3(2), pp. 112-117, November, 2017. www.premierpublishers.org, ISSN: 3254-1213x IRJPEE Conference Paper Small Signal Modelling and Controller

More information

Review Paper on Comparison of various PID Controllers Tuning Methodologies for Heat Exchanger Model

Review Paper on Comparison of various PID Controllers Tuning Methodologies for Heat Exchanger Model Review Paper on Comparison of various PID Controllers Tuning Methodologies for Heat Exchanger Model Sumit 1, Ms. Kajal 2 1 Student, Department of Electrical Engineering, R.N College of Engineering, Rohtak,

More information

Modeling & Simulation of PMSM Drives with Fuzzy Logic Controller

Modeling & Simulation of PMSM Drives with Fuzzy Logic Controller Vol. 3, Issue. 4, Jul - Aug. 2013 pp-2492-2497 ISSN: 2249-6645 Modeling & Simulation of PMSM Drives with Fuzzy Logic Controller Praveen Kumar 1, Anurag Singh Tomer 2 1 (ME Scholar, Department of Electrical

More information

Modeling and Sliding Mode Control of Dc-Dc Buck-Boost Converter

Modeling and Sliding Mode Control of Dc-Dc Buck-Boost Converter 6 th International Advanced Technologies Symposium (IATS ), 68 May, lazığ, Turkey Modeling and Sliding Mode Control of DcDc BuckBoost Converter H Guldemir University of Fira lazig/turkey, hguldemir@gmailcom

More information

CHAPTER 3 METHODOLOGY

CHAPTER 3 METHODOLOGY CHAPTER 3 METHODOLOGY 3.1 INTRODUCTION This chapter will explain about the flow chart of project, designing fuzzy logic controller and fuzzy logic algorithms. Next, it will explain electrical circuit design

More information

SIMULATION AND IMPLEMENTATION OF PID-ANN CONTROLLER FOR CHOPPER FED EMBEDDED PMDC MOTOR

SIMULATION AND IMPLEMENTATION OF PID-ANN CONTROLLER FOR CHOPPER FED EMBEDDED PMDC MOTOR ISSN: 2229-6956(ONLINE) DOI: 10.21917/ijsc.2012.0049 ICTACT JOURNAL ON SOFT COMPUTING, APRIL 2012, VOLUME: 02, ISSUE: 03 SIMULATION AND IMPLEMENTATION OF PID-ANN CONTROLLER FOR CHOPPER FED EMBEDDED PMDC

More information

Tuning Of Conventional Pid And Fuzzy Logic Controller Using Different Defuzzification Techniques

Tuning Of Conventional Pid And Fuzzy Logic Controller Using Different Defuzzification Techniques Tuning Of Conventional Pid And Fuzzy Logic Controller Using Different Defuzzification Techniques Afshan Ilyas, Shagufta Jahan, Mohammad Ayyub Abstract:- This paper presents a method for tuning of conventional

More information

CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM

CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM 74 CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM 4.1 LABORATARY SETUP OF STATCOM The laboratory setup of the STATCOM consists of the following hardware components: Three phase auto transformer used as a 3

More information

Methodology for testing a regulator in a DC/DC Buck Converter using Bode 100 and SpCard

Methodology for testing a regulator in a DC/DC Buck Converter using Bode 100 and SpCard Methodology for testing a regulator in a DC/DC Buck Converter using Bode 100 and SpCard J. M. Molina. Abstract Power Electronic Engineers spend a lot of time designing their controls, nevertheless they

More information

CHAPTER 4 AN EFFICIENT ANFIS BASED SELF TUNING OF PI CONTROLLER FOR CURRENT HARMONIC MITIGATION

CHAPTER 4 AN EFFICIENT ANFIS BASED SELF TUNING OF PI CONTROLLER FOR CURRENT HARMONIC MITIGATION 92 CHAPTER 4 AN EFFICIENT ANFIS BASED SELF TUNING OF PI CONTROLLER FOR CURRENT HARMONIC MITIGATION 4.1 OVERVIEW OF PI CONTROLLER Proportional Integral (PI) controllers have been developed due to the unique

More information

P. Sivakumar* 1 and V. Rajasekaran 2

P. Sivakumar* 1 and V. Rajasekaran 2 IJESC: Vol. 4, No. 1, January-June 2012, pp. 1 5 P. Sivakumar* 1 and V. Rajasekaran 2 Abstract: This project describes the design a controller for PWM boost Rectifier. This regulates the output voltage

More information

Performance Analysis of Boost Converter Using Fuzzy Logic and PID Controller

Performance Analysis of Boost Converter Using Fuzzy Logic and PID Controller IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 11, Issue 3 Ver. I (May. Jun. 2016), PP 70-75 www.iosrjournals.org Performance Analysis of

More information

Single Phase Shunt Active Filter Simulation Based On P-Q Technique Using PID and Fuzzy Logic Controllers for THD Reduction

Single Phase Shunt Active Filter Simulation Based On P-Q Technique Using PID and Fuzzy Logic Controllers for THD Reduction ISSN 2278 0211 (Online) Single Phase Shunt Active Filter Simulation Based On P-Q Technique Using PID and Fuzzy Logic Controllers for THD Reduction A. Mrudula M.Tech. Power Electronics, TKR College Of Engineering

More information

Analysis of Power Factor Correction Techniques in Boost Converter

Analysis of Power Factor Correction Techniques in Boost Converter Analysis of Power Factor Correction Techniques in Boost Converter T. Ramachandran Asso Prof/EEE SCADEC,Cheranmahadevi Tirunelveli, ramspowerthangamugam@gmail.com E. Aswini PG Student SCADCET,Cheranmahadevi,

More information

A Comparative Study on Speed Control of D.C. Motor using Intelligence Techniques

A Comparative Study on Speed Control of D.C. Motor using Intelligence Techniques International Journal of Electronic and Electrical Engineering. ISSN 0974-2174, Volume 7, Number 4 (2014), pp. 431-436 International Research Publication House http://www.irphouse.com A Comparative Study

More information

Design and Simulation of Fuzzy Logic controller for DSTATCOM In Power System

Design and Simulation of Fuzzy Logic controller for DSTATCOM In Power System Design and Simulation of Fuzzy Logic controller for DSTATCOM In Power System Anju Gupta Department of Electrical and Electronics Engg. YMCA University of Science and Technology anjugupta112@gmail.com P.

More information

THE CONVENTIONAL voltage source inverter (VSI)

THE CONVENTIONAL voltage source inverter (VSI) 134 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 14, NO. 1, JANUARY 1999 A Boost DC AC Converter: Analysis, Design, and Experimentation Ramón O. Cáceres, Member, IEEE, and Ivo Barbi, Senior Member, IEEE

More information

Speed control of a DC motor using Controllers

Speed control of a DC motor using Controllers Automation, Control and Intelligent Systems 2014; 2(6-1): 1-9 Published online November 20, 2014 (http://www.sciencepublishinggroup.com/j/acis) doi: 10.11648/j.acis.s.2014020601.11 ISSN: 2328-5583 (Print);

More information

IJESRT. Scientific Journal Impact Factor: (ISRA), Impact Factor: [Chakradhar et al., 3(6): June, 2014] ISSN:

IJESRT. Scientific Journal Impact Factor: (ISRA), Impact Factor: [Chakradhar et al., 3(6): June, 2014] ISSN: IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Development of TMS320F2810 DSP Based Bidirectional buck-boost Chopper Mr. K.S. Chakradhar *1, M.Ayesha siddiqa 2, T.Vandhana 3,

More information

Design and Implementation of Self-Tuning Fuzzy-PID Controller for Process Liquid Level Control

Design and Implementation of Self-Tuning Fuzzy-PID Controller for Process Liquid Level Control Design and Implementation of Self-Tuning Fuzzy-PID Controller for Process Liquid Level Control 1 Deepa Shivshant Bhandare, 2 Hafiz Shaikh and 3 N. R. Kulkarni 1,2,3 Department of Electrical Engineering,

More information

Voltage-MPPT Controller Design of Photovolatic Array System Using Fuzzy Logic Controller

Voltage-MPPT Controller Design of Photovolatic Array System Using Fuzzy Logic Controller Advances in Energy and Power 2(1): 1-6, 2014 DOI: 10.13189/aep.2014.020101 http://www.hrpub.org Voltage-MPPT Controller Design of Photovolatic Array System Using Fuzzy Logic Controller Faridoon Shabaninia

More information

The Effect of Ripple Steering on Control Loop Stability for a CCM PFC Boost Converter

The Effect of Ripple Steering on Control Loop Stability for a CCM PFC Boost Converter The Effect of Ripple Steering on Control Loop Stability for a CCM PFC Boost Converter Fariborz Musavi, Murray Edington Department of Research, Engineering Delta-Q Technologies Corp. Burnaby, BC, Canada

More information

Fuzzy Control Scheme for Damping of Oscillations in Multi Machine. Power System with UPFC

Fuzzy Control Scheme for Damping of Oscillations in Multi Machine. Power System with UPFC Fuzzy Control Scheme for Damping of Oscillations in Multi Machine Power System with UPFC Aparna Kumari 1, Anjana Tripathi 2, Shashi Kala Kumari 3 1 MTech Scholar, Department of Electrical Engineering,

More information

Digital Control Methods for Current Sharing of Interleaved Synchronous Buck Converter

Digital Control Methods for Current Sharing of Interleaved Synchronous Buck Converter Digital Control Methods for Current Sharing of Interleaved Synchronous Buck Converter Keywords «Converter control», «DSP», «ZVS converters» Abstract Pål Andreassen, Tore M. Undeland Norwegian University

More information

Pid Plus Fuzzy Logic Controller Based Electronic Load Controller For Self Exited Induction Generator.

Pid Plus Fuzzy Logic Controller Based Electronic Load Controller For Self Exited Induction Generator. RESEARCH ARTICLE OPEN ACCESS Pid Plus Fuzzy Logic Controller Based Electronic Load Controller For Self Exited Induction Generator. S.Swathi 1, V. Vijaya Kumar Nayak 2, Sowjanya Rani 3,Yellaiah.Ponnam 4

More information

DC Motor Speed Control: A Case between PID Controller and Fuzzy Logic Controller

DC Motor Speed Control: A Case between PID Controller and Fuzzy Logic Controller DC Motor Speed Control: A Case between PID Controller and Fuzzy Logic Controller Philip A. Adewuyi Mechatronics Engineering Option, Department of Mechanical and Biomedical Engineering, Bells University

More information

STABILITY ANALYSIS OF PARALLELED SINGLE ENDED PRIMARY INDUCTANCE CONVERTERS

STABILITY ANALYSIS OF PARALLELED SINGLE ENDED PRIMARY INDUCTANCE CONVERTERS STABILITY ANALYSIS OF PARALLELED SINGLE ENDED PRIMARY INDUCTANCE CONVERTERS A. Ezhilarasi and M. Ramaswamy Department of Electrical Engineering, Annamalai University, Annamalainagar, Tamil Nadu, India

More information

Current Rebuilding Concept Applied to Boost CCM for PF Correction

Current Rebuilding Concept Applied to Boost CCM for PF Correction Current Rebuilding Concept Applied to Boost CCM for PF Correction Sindhu.K.S 1, B. Devi Vighneshwari 2 1, 2 Department of Electrical & Electronics Engineering, The Oxford College of Engineering, Bangalore-560068,

More information

Compensation of Unbalanced Sags/Swells by Single Phase Dynamic Voltage Restorer

Compensation of Unbalanced Sags/Swells by Single Phase Dynamic Voltage Restorer Compensation of nbalanced Sags/Swells by Single Phase Dynamic Voltage Restorer S.Manmadha Rao, S.V.R.akshmi Kumari, B.Srinivasa Rao singamsetty47@gmail.com Abstract- Power quality is the most important

More information

Australian Journal of Basic and Applied Sciences. Performance Evaluation of Three-Phase Inverter with Various Fuzzy Logic Controllers

Australian Journal of Basic and Applied Sciences. Performance Evaluation of Three-Phase Inverter with Various Fuzzy Logic Controllers AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Performance Evaluation of Three-Phase Inverter with Various Fuzzy Logic Controllers A.M.

More information

Digital PWM Controller and Current Estimator for A Low-Power Switching Converter

Digital PWM Controller and Current Estimator for A Low-Power Switching Converter 7 th IEEE Workshop on Computers in Power Electronics, COMPE 000, Blacksburg, VA, July 6-8, 000. Digital PWM Controller and Current Estimator for A ow-power Switching Converter Aleksandar Prodic and Dragan

More information

Prof. K. N. Kasat. Buck converter, Dc-Dc converter, PID controller, Fuzzy PID controller.

Prof. K. N. Kasat. Buck converter, Dc-Dc converter, PID controller, Fuzzy PID controller. Volume 4, Issue 4, April 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com A Review on Performance

More information

A Unity Power Factor Boost Rectifier with a Predictive Capacitor Model for High Bandwidth DC Bus Voltage Control

A Unity Power Factor Boost Rectifier with a Predictive Capacitor Model for High Bandwidth DC Bus Voltage Control A Unity Power Factor Boost Rectifier with a Predictive Capacitor Model for High Bandwidth DC Bus Voltage Control Peter Wolfs Faculty of Sciences, Engineering and Health Central Queensland University, Rockhampton

More information

CHAPTER 6 DEVELOPMENT OF A CONTROL ALGORITHM FOR BUCK AND BOOST DC-DC CONVERTERS USING DSP

CHAPTER 6 DEVELOPMENT OF A CONTROL ALGORITHM FOR BUCK AND BOOST DC-DC CONVERTERS USING DSP 115 CHAPTER 6 DEVELOPMENT OF A CONTROL ALGORITHM FOR BUCK AND BOOST DC-DC CONVERTERS USING DSP 6.1 INTRODUCTION Digital control of a power converter is becoming more and more common in industry today because

More information

DIGITAL controllers for switch-mode power supplies have

DIGITAL controllers for switch-mode power supplies have 140 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 20, NO. 1, JANUARY 2005 Predictive Digital Control of Power Factor Preregulators With Input Voltage Estimation Using Disturbance Observers Paolo Mattavelli,

More information

METHODS TO IMPROVE DYNAMIC RESPONSE OF POWER FACTOR PREREGULATORS: AN OVERVIEW

METHODS TO IMPROVE DYNAMIC RESPONSE OF POWER FACTOR PREREGULATORS: AN OVERVIEW METHODS TO IMPROE DYNAMIC RESPONSE OF POWER FACTOR PREREGULATORS: AN OERIEW G. Spiazzi*, P. Mattavelli**, L. Rossetto** *Dept. of Electronics and Informatics, **Dept. of Electrical Engineering University

More information

A DSPIC Implementation of a Sliding Mode Strategy for a SEPIC Converter

A DSPIC Implementation of a Sliding Mode Strategy for a SEPIC Converter SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 6, No., November 009, 5-5 UDK: 68.55:6.34. A DSPIC Implementation of a Sliding Mode Strategy for a SEPIC Converter Arivukkannu Ezhilarasi, Muthiah Ramaswamy

More information

Simulation of Optimal Speed Control for a DC Motor Using Conventional PID Controller and Fuzzy Logic Controller

Simulation of Optimal Speed Control for a DC Motor Using Conventional PID Controller and Fuzzy Logic Controller International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 3 (2013), pp. 181-188 International Research Publications House http://www. irphouse.com /ijict.htm Simulation

More information

Development of simple fuzzy logic controller (SFLC) for ZVS quasi-resonant converter: Design, simulation and experimentation

Development of simple fuzzy logic controller (SFLC) for ZVS quasi-resonant converter: Design, simulation and experimentation J. Indian Inst. Sci., May June 2006, 86, 215 233 Indian Institute of Science. Development of simple fuzzy logic controller (SFLC) for ZVS quasi-resonant converter: Design, simulation and experimentation

More information

Abstract: PWM Inverters need an internal current feedback loop to maintain desired

Abstract: PWM Inverters need an internal current feedback loop to maintain desired CURRENT REGULATION OF PWM INVERTER USING STATIONARY FRAME REGULATOR B. JUSTUS RABI and Dr.R. ARUMUGAM, Head of the Department of Electrical and Electronics Engineering, Anna University, Chennai 600 025.

More information

1. Governor with dynamics: Gg(s)= 1 2. Turbine with dynamics: Gt(s) = 1 3. Load and machine with dynamics: Gp(s) = 1

1. Governor with dynamics: Gg(s)= 1 2. Turbine with dynamics: Gt(s) = 1 3. Load and machine with dynamics: Gp(s) = 1 Load Frequency Control of Two Area Power System Using PID and Fuzzy Logic 1 Rajendra Murmu, 2 Sohan Lal Hembram and 3 A.K. Singh 1 Assistant Professor, 2 Reseach Scholar, Associate Professor 1,2,3 Electrical

More information

THE ANNALS OF DUNAREA DE JOS UNIVERSITY OF GALATI FASCICLE III, 2006 ISSN X ELECTROTECHNICS, ELECTRONICS, AUTOMATIC CONTROL, INFORMATICS

THE ANNALS OF DUNAREA DE JOS UNIVERSITY OF GALATI FASCICLE III, 2006 ISSN X ELECTROTECHNICS, ELECTRONICS, AUTOMATIC CONTROL, INFORMATICS EECTOTECHNICS, EECTONICS, AUTOMATIC CONTO, INFOMATICS INDIECT CONTO OF A SINGE-PHASE ACTIVE POWE FITE Emil osu, Mihai Culea, Teodor Dumitriu, and Traian Munteanu Dunarea de Jos University, Galati, omania

More information

DESIGN AND FPGA IMPLEMENTATION OF SLIDING MODE CONTROLLER FOR BUCK CONVERTER

DESIGN AND FPGA IMPLEMENTATION OF SLIDING MODE CONTROLLER FOR BUCK CONVERTER DESIGN AND FPGA IMPLEMENTATION OF SLIDING MODE CONTROLLER FOR BUCK CONVERTER 1 ABHINAV PRABHU, 2 SHUBHA RAO K 1 Student (M.Tech in CAID), 2 Associate Professor Department of Electrical and Electronics,

More information

Implementation of Fuzzy Controller to Magnetic Levitation System

Implementation of Fuzzy Controller to Magnetic Levitation System IX Control Instrumentation System Conference (CISCON - 2012), 16-17 November 2012 201 Implementation of Fuzzy Controller to Magnetic Levitation System Amit Kumar Choudhary, S.K. Nagar and J.P. Tiwari Abstract---

More information

A GENERALIZED DIRECT APPROACH FOR DESIGNING FUZZY LOGIC CONTROLLERS IN MATLAB/SIMULINK GUI ENVIRONMENT

A GENERALIZED DIRECT APPROACH FOR DESIGNING FUZZY LOGIC CONTROLLERS IN MATLAB/SIMULINK GUI ENVIRONMENT A GENERALIZED DIRECT APPROACH FOR DESIGNING FUZZY LOGIC CONTROLLERS IN MATLAB/SIMULINK GUI ENVIRONMENT Ismail H. ALTAS 1, Adel M. SHARAF 2 1 Department of Electrical and Electronics Engineering Karadeniz

More information

ScienceDirect. Fuzzy logic-based voltage controlling mini solar electric power plant as an electrical energy reserve for notebook

ScienceDirect. Fuzzy logic-based voltage controlling mini solar electric power plant as an electrical energy reserve for notebook Available online at www.sciencedirect.com ScienceDirect Energy Procedia 68 (2015 ) 97 106 2nd International Conference on Sustainable Energy Engineering and Application, ICSEEA 2014 Fuzzy logicbased voltage

More information

NNC for Power Electronics Converter Circuits: Design & Simulation

NNC for Power Electronics Converter Circuits: Design & Simulation NNC for Power Electronics Converter Circuits: Design & Simulation 1 Ms. Kashmira J. Rathi, 2 Dr. M. S. Ali Abstract: AI-based control techniques have been very popular since the beginning of the 90s. Usually,

More information

Advances in Averaged Switch Modeling

Advances in Averaged Switch Modeling Advances in Averaged Switch Modeling Robert W. Erickson Power Electronics Group University of Colorado Boulder, Colorado USA 80309-0425 rwe@boulder.colorado.edu http://ece-www.colorado.edu/~pwrelect 1

More information

Digital Current Mode Controller for Buck Converter

Digital Current Mode Controller for Buck Converter International Journal of Modern Research in Engineering & Management (IJMREM) Volume 1 Issue 6 Pages 01-08 June 2018 ISSN: 2581-4540 Digital Current Mode Controller for Buck Converter 1, Ahsan Hanif, 2,

More information

ACONTROL technique suitable for dc dc converters must

ACONTROL technique suitable for dc dc converters must 96 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 12, NO. 1, JANUARY 1997 Small-Signal Analysis of DC DC Converters with Sliding Mode Control Paolo Mattavelli, Member, IEEE, Leopoldo Rossetto, Member, IEEE,

More information

Bridgeless Cuk Power Factor Corrector with Regulated Output Voltage

Bridgeless Cuk Power Factor Corrector with Regulated Output Voltage Bridgeless Cuk Power Factor Corrector with Regulated Output Voltage Ajeesh P R 1, Prof. Dinto Mathew 2, Prof. Sera Mathew 3 1 PG Scholar, 2,3 Professors, Department of Electrical and Electronics Engineering,

More information

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Amit K. Jain, Sameer Shekhar, Yan Z. Li Client Computing Group, Intel Corporation

More information

Simple Fuzzy PID Controllers for DC-DC Converters

Simple Fuzzy PID Controllers for DC-DC Converters 724 Journal of Electrical Engineering & Technology Vol. 7, No. 5, pp. 724~729, 2012 http://dx.doi.org/10.5370/jeet.2012.7.5.724 Simple Fuzzy PID Controllers for DC-DC Converters K.-W. Seo* and Han Ho Choi

More information

Design of Self-Tuning Fuzzy PI controller in LABVIEW for Control of a Real Time Process

Design of Self-Tuning Fuzzy PI controller in LABVIEW for Control of a Real Time Process International Journal of Electronics and Computer Science Engineering 538 Available Online at www.ijecse.org ISSN- 2277-1956 Design of Self-Tuning Fuzzy PI controller in LABVIEW for Control of a Real Time

More information

ANALYSIS OF SEPIC CONVERTER USING PID AND FUZZY LOGIC CONTROLLER

ANALYSIS OF SEPIC CONVERTER USING PID AND FUZZY LOGIC CONTROLLER Impact Factor (SJIF): 5.302 International Journal of Advance Research in Engineering, Science & Technology e-issn: 2393-9877, p-issn: 2394-2444 Volume 5, Issue 3, March-2018 ANALYSIS OF SEPIC CONVERTER

More information

Intelligent Fuzzy-PID Hybrid Control for Temperature of NH3 in Atomization Furnace

Intelligent Fuzzy-PID Hybrid Control for Temperature of NH3 in Atomization Furnace 289 Intelligent Fuzzy-PID Hybrid Control for Temperature of NH3 in Atomization Furnace Assistant Professor, Department of Electrical Engineering B.H.S.B.I.E.T. Lehragaga Punjab technical University Jalandhar

More information

Transactions on Engineering Sciences vol 11, 1996 WIT Press, ISSN

Transactions on Engineering Sciences vol 11, 1996 WIT Press,   ISSN The design and modelling of resonant switched mode power supply (SMPS) using Simulink and Matlab B.Baha,»D.C.Hamill* "Department ofelectrical and Electronic Engineering, University of Brighton, Brighton,

More information

Comparative study of PID and Fuzzy tuned PID controller for speed control of DC motor

Comparative study of PID and Fuzzy tuned PID controller for speed control of DC motor Comparative study of PID and Fuzzy tuned PID controller for speed control of DC motor Mohammed Shoeb Mohiuddin Assistant Professor, Department of Electrical Engineering Mewar University, Chittorgarh, Rajasthan,

More information

MUCH research work has been recently focused on the

MUCH research work has been recently focused on the 398 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 Dynamic Hysteresis Band Control of the Buck Converter With Fast Transient Response Kelvin Ka-Sing Leung, Student

More information

Fuzzy Logic Based Speed Control System Comparative Study

Fuzzy Logic Based Speed Control System Comparative Study Fuzzy Logic Based Speed Control System Comparative Study A.D. Ghorapade Post graduate student Department of Electronics SCOE Pune, India abhijit_ghorapade@rediffmail.com Dr. A.D. Jadhav Professor Department

More information

Designing and Tuning of PI Controller for Flyback Converter

Designing and Tuning of PI Controller for Flyback Converter International Journal of Engineering Trends and Technology (IJETT) Volume 13 Number 3 Jul 214 Designing and Tuning of PI Controller for Flyback Converter Abhinav Dogra #1, Kanchan Pal *2 # Assistant Professor,

More information

CONTROLLER DESIGN ON ARX MODEL OF ELECTRO-HYDRAULIC ACTUATOR

CONTROLLER DESIGN ON ARX MODEL OF ELECTRO-HYDRAULIC ACTUATOR Journal of Fundamental and Applied Sciences ISSN 1112-9867 Research Article Special Issue Available online at http://www.jfas.info MODELING AND CONTROLLER DESIGN ON ARX MODEL OF ELECTRO-HYDRAULIC ACTUATOR

More information

DC motor position control using fuzzy proportional-derivative controllers with different defuzzification methods

DC motor position control using fuzzy proportional-derivative controllers with different defuzzification methods TJFS: Turkish Journal of Fuzzy Systems (eissn: 1309 1190) An Official Journal of Turkish Fuzzy Systems Association Vol.1, No.1, pp. 36-54, 2010. DC motor position control using fuzzy proportional-derivative

More information

The Effect of Fuzzy Logic Controller on Power System Stability; a Comparison between Fuzzy Logic Gain Scheduling PID and Conventional PID Controller

The Effect of Fuzzy Logic Controller on Power System Stability; a Comparison between Fuzzy Logic Gain Scheduling PID and Conventional PID Controller The Effect of Fuzzy Logic Controller on Power System Stability; a Comparison between Fuzzy Logic Gain Scheduling PID and Conventional PID Controller M. Ahmadzadeh, and S. Mohammadzadeh Abstract---This

More information

Single-Loop Control of Buck Power-Pulsation Buffer for AC-DC Converter System

Single-Loop Control of Buck Power-Pulsation Buffer for AC-DC Converter System Single-Loop Control of Buck Power-Pulsation Buffer for AC-DC Converter System Yuri Panov, Milan M. Jovanovi, and Brian T. Irving Power Electronics Laboratory Delta Products Corporation 5101 Davis Drive,

More information

Voltage Control for DC-DC Converters

Voltage Control for DC-DC Converters International Journal of Engineering Works ISSN-p: 2521-2419 ISSN-e: 2409-2770 Vol. 5, Issue 10, PP. 198-202, October 2018 https:/// Voltage Control for DC-DC Converters Usman Rahat 1, Dr. Abdul Basit

More information

Self-Tuning PI-Type Fuzzy Direct Torque Control for Three-phase Induction Motor

Self-Tuning PI-Type Fuzzy Direct Torque Control for Three-phase Induction Motor Self-Tuning PI-Type Fuzzy Direct Torque Control for Three-phase Induction Motor JOSÉ L. AZCUE P., ALFEU J. SGUAREZI FILHO and ERNESTO RUPPERT Department of Energy Control and Systems University of Campinas

More information

Resistance Furnace Temperature Control System Based on OPC and MATLAB

Resistance Furnace Temperature Control System Based on OPC and MATLAB 569257MAC0010.1177/0020294015569257Resistance Furnace Temperature Control System Based on and MATLABResistance Furnace Temperature Control System Based on and MATLAB research-article2015 Themed Paper Resistance

More information

A Fuzzy Controlled High Voltage Boosting Converter Based On Boost Inductors and Capacitors

A Fuzzy Controlled High Voltage Boosting Converter Based On Boost Inductors and Capacitors A Fuzzy Controlled High Voltage Boosting Converter Based On Boost Inductors and Capacitors V.V Jayashankar 1, K.P Elby 2, R Uma 3 ( 1 Dept. of EEE, Sree Narayana Gurukulam College of Engineering, Kolenchery,

More information

Speed control of Induction Motor Using Push- Pull Converter and Three Phase SVPWM Inverter

Speed control of Induction Motor Using Push- Pull Converter and Three Phase SVPWM Inverter Speed control of Induction Motor Using Push- Pull Converter and Three Phase SVPWM Inverter Dr.Rashmi 1, Rajesh K S 2, Manohar J 2, Darshini C 3 Associate Professor, Department of EEE, Siddaganga Institute

More information

A PLC-based Self-tuning PI-Fuzzy Controller for Linear and Non-linear Drives Control

A PLC-based Self-tuning PI-Fuzzy Controller for Linear and Non-linear Drives Control A PLC-based Self-tuning PI-Fuzzy Controller for Linear and Non-linear Drives Control Muhammad Arrofiq *1, Nordin Saad *2 Universiti Teknologi PETRONAS Tronoh, Perak, Malaysia muhammad_arrofiq@utp.edu.my

More information

Fuzzy Logic Controller Based Three-phase Shunt Active Filter for Line Harmonics Reduction

Fuzzy Logic Controller Based Three-phase Shunt Active Filter for Line Harmonics Reduction Journal of Computer Science 3 (: 76-8, 7 ISSN 549-3636 7 Science Publications Fuzzy Logic Controller Based Three-phase Shunt Active Filter for Line Harmonics Reduction C.Sharmeela, M.R.Mohan, G.Uma, J.Baskaran

More information