COMBINED MODELLING OF LONG, SHORT INTERRUPTIONS AND VOLTAGE DIPS: A MARKOVIAN SOLUTION

Size: px
Start display at page:

Download "COMBINED MODELLING OF LONG, SHORT INTERRUPTIONS AND VOLTAGE DIPS: A MARKOVIAN SOLUTION"

Transcription

1 C I R E D 8 th Internatonal Conference on Electrcty Dstrbuton Turn, 6-9 June 25 COMBINED MODELLING OF LONG, SHORT INTERRUPTIONS AND VOLTAGE DIPS: A MARKOVIAN SOLUTION Massmlano GIORGIO, Roberto LANGELLA, Teresa MANCO, Alfredo TESTA Second Unversty of Naples - Italy massmlano.gorgo@unna2.t, roberto.langella@eee.org, teresa.manco@unna2.t, alfredo.testa@eee.org SUMMARY A model of an electrcal system node s presented. It allows predctng the effects of long and short nterruptons as well as of voltage dps on fnal users startng from statstcal nput data. The model s developed usng an extenson of the homogeneous mult-state Marov process to tae nto account the non exponental nature of the falure-repar process. Case studes demonstrate the usefulness of the model for practcal applcatons. I. INTRODUCTION In the last years, wth the lberalzaton of energy marets, regulaton of electrcty supply qualty has taen on growng nterest. Utltes have to assure contnuty of supply and voltage qualty, n order to meet Authortes rules and customer satsfacton [-2]. The detrmental effects of long nterruptons affect all customers. Moreover, short nterruptons and voltage dps may cause functonal problems to many nds of customers because of the senstvty of electrcal and electronc equpments, such as process controllers, adjustable speed drves, programmable logc controllers, personal computers, etc. The quantfcaton of the damages caused by these dsturbances s very mportant because t allows evaluatng the opportunty of adoptng local actons to reduce totally or partally the users senstvty [3-5]. Unfortunately, these damages are dffcult to estmate because of: ) the random nature of the abovementoned phenomena and ) the fact that dsturbance effects depend on the fnal user [6-7]. The use of Power Qualty montors and statstc ndcators by tself does not solve the problem. In ths paper, a model of an electrcal system node s developed extendng the homogeneous mult-state Marov process [8-9]. Ths extenson allows consderng the non exponental nature of the recovery tme consequent to long and short nterruptons as well as to voltage dps. The resultng model, even f apparently complex, allows tang nto account the user senstvty smply drawng border lnes between the states whose effects are relevant and the others. In the followng sectons, after a bref descrpton of the standard dsturbance characterzaton, the proposed model s presented. Fnally, wth reference to representatve casestudes, the parameter settng s dscussed n order to demonstrate the flexblty and the powerfulness of the methodology. II. DISTURBANCE CHARACTERIZATION An electrcal node behavour s usually characterzed separately n terms of Long Interruptons (LI), Short 2 Interruptons (SI) and Voltage Dps (VD). Ths characterzaton s made accordng to the ndexes reported n the Standards [2]. Typcal data structures are reported n Table, 2 and 3 wth reference to LI, SI and VD, respectvely. The sources of the table data are the Italan Authorty for Energy (22) and the results of a measurement campagn on an Italan bus bar performed over 346 days. The nterrupton ndexes used are the well nown: - CAIDI (Customer Average Interrupton Duraton Index): average duraton of LI per customer per year. - SAIFI (System Average Interrupton Frequency Index): average number of LI per customer per year. - MAIFI (Momentary Average Interrupton Frequency Index): average number of short (momentary) nterruptons per customer per year. Voltage dps are characterzed each by a par of data, duraton and ether retaned voltage or depth; for ths reason, ther average number per year s gven n a duraton-depth table. TABLE - LONG INTERRUPTIONS CAIDI Class SAIFI mn L 2.93 TABLE 2 - SHORT INTERRUPTIONS DURATION Class MAIFI Freq. <.5 s S s S s S s S s S TOT S 6.73 % TABLE 3 - VOLTAGE DIPS DURATION DEPTH VD 3% VD>3% Class Num. Freq. Class Num. Freq. - ms DL DH ms DL DH s DL s DL s DL s DL TOT DL 27 % DH % Interrupton: condton n whch the voltage on the delvery pont of electrcal energy for end-user s less than % of declared voltage. The nterruptons are classfed nto long nterruptons (duraton > 3 mn) and short (and momentary) nterruptons (duraton 3 mn). 2 Voltage dps: varaton of nomnal voltage > % wth duraton ncluded nto the nterval ms-8s. CIRED25 Sesson No 5

2 C I R E D 8 th Internatonal Conference on Electrcty Dstrbuton Turn, 6-9 June 25 It s worthwhle to note that each row of the tables ndvduates the dsturbances n terms of type (Class symbol) and level (Class number) that wll be used as one of the possble states of the system (L(.), S(.), DL(.),.DH(.)). In Table 2 and 3 also the relatve level frequency s gven. In other words, the electrcal node s ether n a normal state (Node OK) or n one of the fourteen states that together wth the normal state consttute a set of ffteen exhaustve and mutually exclusve states. III. MODELLING In Fg. a frst general Marovan model that can be used to nclude, at the same tme, long and short nterruptons and voltage dps s represented. Accordng to ths model, no mportance to the duraton of SI and VD and to the depth of VD s gven. The tme spent n each of the states s exponentally dstrbuted, so the falure-repar process can be vewed as an homogeneous Marov process (see Appendx). λ and µ represent the transton rates between the state Node OK and the state n whch the -th dsturbance s present. Intal values for these transton rates (see the next secton for detal about parameter settng) can be calculated from the data contaned n Tables -3; for example, wth reference to LI, λ 3 =SAIFI [transton per year] and µ 3 =/CAIDI [transton per mn] are obtaned. The other four transton rates can be evaluated n a smlar way, wth some lttle mathematcal complcatons. Ths smplfed model does not provde the opportunty of dstngushng among the levels of the dfferent type of dsturbances. So, the dfferent customer senstvtes can not be properly modelled. NODE OK 2 3 VOLTAGE DIPS SHORT LONG INTERRUPTIONS INTERRUPTIONS III.A. Structure In order to solve the aforementoned problem, a ffteen (4+) states model accordng to the classes defned n the rows of Tables, 2 and 3 has been frstly used. But, n spte of the ncreased number of states, ths model s only able to consder exponental dstrbuted dsturbance duraton (tme to recovery). Unfortunately, the dsturbance duraton, for each state, can not realstcally be consdered an exponental random varable. To solve ths problem, the method of stages has been used (see Appendx). It has allowed authors developng dfferent complex, but easy to handle, models. For the sae of brevty, n the followng part of the paper, reference s made only to the model consstng of a pure parallel of seres stages, represented n Fg. 2. Accordng to ths model, the OK state s connected wth fourteen states/columns (see rows of Tables -3). The parallel columns -6 are used to model VD of depth 3%; the columns 7-8 are used to model VD of depth > 3%; the columns 9-3 are used to model SI and, fnally, the last column s used to model LI. Each column consstng of a seres of stages. For example, dl - s the number of stages of the frst state, DL (frst column) and dl 6 -dl 5 s the number of stages of the 6-th state, DL6. For the sae of clarty, only the frst and the last stage of each state are represented. In Fg. 2, t s possble to observe two dashed border lnes: A s representatve of users senstve only to short and long nterruptons and B of users senstve to short nterruptons wth duraton greater than.5 s and to long nterruptons. Each border lne separates, for the users they represent, the states that do not cause damage to the users (.e. those on the left of the border lne) from the states that cause damage to the users (.e. those on the rght of the border lne). Gven the user, the probablty to be up ( down ) can be calculated as the sum of the state probabltes of the states that are on the left (rght) of the border lne. Fg. - Smplfed model of the electrcal system node. DL DL2 DL3 DL4 DL5 DL6 DH DH2 S S2 S3 S4 S5 L A B > DL DL2 DL3 DL4 DL5 DL6 DH DH2 S S2 S3 S4 S5 L Fg. 2 - Proposed model of the electrcal system node consttuted by a pure parallel of seres stages. CIRED25 Sesson No 5

3 C I R E D 8 th Internatonal Conference on Electrcty Dstrbuton Turn, 6-9 June 25 III.B. Parameter Settng The expermental data reported n Secton II have been used to set all the transton rates, λ (.) and µ (.) as well as the number of stages for each of the fourteen states (columns) of the model n Fg. 2 (here, µ (.) s an ext transton rate equal for each of the stages appertanng to the gven state (.)). Intal values of the transton rates λ (.) and of the MTTR (Mean Tme To Repar) can be obtaned usng drectly the number of events per year reported n Tables -3. For example, wth reference to SI (Tab.2), t s possble to set λ S5 =MAIFI S5 =.83 [transtons per year]. Smlarly, an ntal value of the ext transton rate, µ S5, can be calculated as: (s5- s4)/mttr S5, beng (s5-s4) and MTTR S5 the number of stages and the mean traversng tme assocated to state S5, respectvely. The MTTR S5 can be set to 2 s, that s the centre of the nterval duraton of the correspondng class. To complete the ntal parameter settng, t s necessary to assgn the number of stages for each of the fourteen states. Gven the ntal values of the transton rates λ (.) and µ (.) the number of stages for the dfferent columns have been heurstcally chosen wth the am of obtanng a tme-to-repar (or recoverng tme) dstrbuton wth characterstcs as close as possble to those of the real dstrbutons reported n Tables, 2 and 3. The ndex used to evaluate ths closeness s the Root Mean Square Error (RMSE): RMSE = mf rf rf where rf (,2,,) are the real relatve frequences reported n Tables, 2 and 3 and mf the correspondng frequences calculated on the bass of the model. Here and n the followng of ths secton, the subscrpt s used to mae reference to the -th state on the rght sde of the border lne. Gven the structure of the model n Fg 2, the pdf of the tmeto-repar s a mxture of the pdfs (5 for border B and 6 for A) of the tme spent to traverse each column on the rght sde of the border lne. Each pdf refers to a seres of stages, so t s a Specal Erlangan dstrbuton of parameters µ and N, beng N the number of stages of the -th state. The mxture can be obtaned by: f = MIX 2 () w f. (2) where s the number of states on the rght sde of the border lne and w s a set of weghts so that Σ w =. The value depends on the nd of fnal user under consderaton and can reach the maxmum value of 4. The weght w s the rato between the transton rate from the State OK to the -th column and the summaton of the transton rates extended to the states under consderaton. The authors experence has demonstrated that (gven the ntal settng of the rates λ (.) and µ (.) ) just changng the number of stages, t s not possble to obtan optmal results. Sensble mprovements of the soluton can be produced ntroducng some lttle adjustments of the transton rates λ and µ prevously calculated. Obvously, these adjustments must be done assumng a constant value for the real global MTTR of all the DOWN states and for the MTTF (Mean Tme To Falure), whch the steady state soluton depends on. These MTTR and MTTF can be calculated usng the data n Tables, 2 and 3. Ths calbraton can be accomplshed adoptng the followng relatons: * * * λ = w λg = w λ (3) and * MTTR * µ = µ (4) MTTR where the asters denotes the transton rates obtaned after the adjustment, and MTTR and the MTTR * can be calculated by the fallowng formulas: * * MTTR = w MTTR ; MTTR = w MTTR (5) beng MTTR the mean traversng tme of the -th state on the rght sde of the border lne. Equaton (3) allows changng the weghts wthout changng the global MTTF of the node (t s suffcent to use the ntal λ g and to respect the condton Σ w* =). Equaton (4) allows restorng the MTTR of the model, changed due to the weghts adjustment, to the ntal real global MTTR value. IV. APPLICATIONS Two case studes correspondng to the two nds of users whose senstvtes are represented by the borderlnes of Fg. 2 are developed. IV.A. Case-Study A Reference s made to users senstve only to short and long nterruptons. Frst of all, usng ntal values of the transton rates, a startng set of number of stages for each class has been found. Then, for several adjusted sets of weghts, the relatve errors between the pdf expermentally obtaned and the pdf reproduced by the model have been calculated for each class of nterruptons. The resultng best combnaton of weghts and transton rates, heurstcally found, are reported n Table 4. The RMSE s equal to.65. TABLE 4 Case Study A: Best combnaton of weghts and transton rates heurstcally found and characterzed by an RMSE=.65 for the startng set of stages. S S2 S3 S4 S5 L N () w µ Error % CIRED25 Sesson No 5

4 C I R E D 8 th Internatonal Conference on Electrcty Dstrbuton Turn, 6-9 June 25 Fgure 3 reports a comparson between the real pdf of the tme-to-repar obtaned from Tables,2 and 3 and the pdf reproduced by the model usng the values ndcated n Table RMSE=.65 RMSE=.34 RMSE=.25 RMSE=.6 pdfs - probablty densty functons [s - ] t - tme Fg. 3 - Case Study A: Comparson of the real pdf of the tmeto-repar obtaned and the pdf reproduced by the model (Table 4). Fgure 4 reports the absolute value of the relatve errors, class by class, for three dfferent combnatons of weghts and transton rates all characterzed by the number of stages of Table 4. The RMSE value correspondng to each combnaton s also reported. 5 ERRORS % 5 IS S IIS S2 S3 IIIS S4 IVS S5 VS L IL ES Fg. 5 - Case Study A: Relatve errors, class by class, for three dfferent combnatons of weghts and transton rates all characterzed by the number of stages of Table 6. IV.B. Case Study B As done n the prevous Sub-secton, some smulatons have been run for users senstve to short nterruptons wth duraton greater than.5 s and to long nterruptons. The resultng best combnaton of weghts and transton rates, heurstcally found, are reported n Table 6. The RMSE s equal to.27. TABLE 6 Case Study B: Best combnaton of weghts and transton rates heurstcally found and characterzed by an RMSE=.27. ERRORS % 5 RMSE=.65 RMSE=.53 RMSE=.73 S2 S3 S4 S5 L N 5 5 w µ Error % Fgure 6 reports a comparson between the real pdf of the tme-to-repar obtaned from Tables,2 and 3 and the pdf reproduced by the model usng the values reported n Table 6. IS S IIS S2 S3 IIIS IVS S4 S5 VS LIL ES Fg. 4 - Case Study A: Relatve errors, class by class, for three dfferent combnatons of weghts and transton rates all characterzed by the number of stages of Table 4. After the frst calbraton, the soluton found (TABLE 4) has been compared to other solutons, obtaned varyng only the number of stages (TABLE 5). A better soluton wth RMSE=.6 has been found. The correspondng absolute values of the relatve errors are drawn n Fg.5. TABLE 5 Case Study A: Dfferent sets (,2,3,4) of values of stage number (and correspondng RMSE) used n combnaton wth the set of weghts of Tab. 4. S S2 S3 S4 S5 L RMSE N () N (2) N (3) N (4) pdfs- probablty densty functons [s - ] t tme Fg. 6 - Case Study B: Comparson of the real pdf of the tme-torepar obtaned and the pdf reproduced by the model (Table 6). CIRED25 Sesson No 5

5 C I R E D 8 th Internatonal Conference on Electrcty Dstrbuton Turn, 6-9 June 25 VI. CONCLUSIONS In ths paper, a model of an electrcal system node has been presented. The model has been developed extendng the homogeneous mult-state Marov process to tae nto account the non exponental nature of the recovery tme process consequent to long and short nterruptons as well as to voltage dps. The resultng model, even f apparently complex, has allowed tang nto account the user senstvty smply drawng border lnes between states whose effects are relevant and the others. By means of the ntroduced models t s possble: - assessng the economcal damages due to nterruptons and voltage dps; - evaluatng the convenence of local actons to mprove relablty and Power Qualty. VII. APPENDIX - Remars on the Marov Approach and ts extenson The Marov approach s based on the fallowng hypothess: the predcton of the future states of the system, based on the present state alone, does not dffer from that formulated on the bass of the whole hstory of the system (Marov property). Whether ths transton probablty does not depend on the age of the system (tme) the Marov process s called homogeneous. In a homogeneous Marov process the tme between successve transactons has an exponental dstrbuton. In the applcatons to sngle two state components n whch both tme to falure and tme-to-repar are exponentally dstrbuted, the falure-repar process can be vewed as a two state homogeneous Marov process. When the random varables tme to falure and/or tme-torepar can not be assumed exponentally dstrbuted, extensons of the homogeneous Marov process have to be adopted. A smple, but powerful soluton conssts n dvdng a state nto sub-states, each beng defned as a stage. If two or more exponentally dstrbuted stages are combned, the tme spent n the resultng state s non-exponentally dstrbuted. In partcular: - f the N stages (see Fg.7) are traversed n a sequental order (seres) and have constant and equal transton rate, µ, the tme spent to pass through the state s a Specal Erlangan random varable of parameters µ and N havng pdf: f = ( t) ( N )! N µ µ µ t e, (6) STATE STAGE STAGEN w λ STAGE VIII. BIBLIOGRAPHY [] Fg. 7 - Stages n seres. STATE µ µ N w N λ Fg. 8 - Stages n parallel. STAGE N UP DOWN UP DOWN [2] Jont Worng Group Cgré C4.7 / CIRED, 8- Oct. 23, Recommendng power qualty ndces and objectves n the context of an open electrcty maret, CIGRE/IEEE PES Internatonal Symposum on Qualty and Securty of Electrc Power Delvery Systems. [3] G. Brauner, C. Hennerbchler, ClRED 8-2 June 2, Voltage Dps and Senstvty of Consumers n Low Voltage Networs, Conference Publcaton No. 482 IEE 2. [4] Hngoran, N.G., June 995, Introducng custom power. Spectrum, IEEE, Volume: 32 Issue: 6, Page(s): [5] Krby, B.; Hrst, E., June 996, Unbundlng Electrcty: Ancllary Servces, Power Engneerng Revew, IEEE, Volume: 6 Issue: 6, Page(s): 5. [6] Bollen, M.H.J.; Qader, M.R.; Allan, R.N.,, 27 Jan. 998, Stochastcal and statstcal assessment of voltage dps, Tools and Technques for Dealng wth Uncertanty (Dgest No. 998/2), IEE Colloquum on Page(s): 5/ - 5/4. [7] M.H.J. Bollen, T. Tatjasanant, G. Yalqnaya, Nov/Dec 997, Assessment of the number of voltage sags experenced by a large ndustral customer, IEEE Transactons on Industry Applcatons. [8] S.M. ROSS, 983, Stochastc Processes, Wley. [9] R. Bllngton, R.N. Allan, 992, Relablty evaluaton of engneerng systems, 2 nd edton, Plenum Press. - f the N (see Fg.8) stages are n parallel (.e.: the state can be traversed by traversng one of ts stages) gven the transton rates µ (,2,,N) of the -th stage and the probablty, w λ, of traversng the state passng across the -th stage, the probablty densty functon of the tme spent n the state s: N t f = w µ e µ (7) CIRED25 Sesson No 5

Uncertainty in measurements of power and energy on power networks

Uncertainty in measurements of power and energy on power networks Uncertanty n measurements of power and energy on power networks E. Manov, N. Kolev Department of Measurement and Instrumentaton, Techncal Unversty Sofa, bul. Klment Ohrdsk No8, bl., 000 Sofa, Bulgara Tel./fax:

More information

MTBF PREDICTION REPORT

MTBF PREDICTION REPORT MTBF PREDICTION REPORT PRODUCT NAME: BLE112-A-V2 Issued date: 01-23-2015 Rev:1.0 Copyrght@2015 Bluegga Technologes. All rghts reserved. 1 MTBF PREDICTION REPORT... 1 PRODUCT NAME: BLE112-A-V2... 1 1.0

More information

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b 2nd Internatonal Conference on Computer Engneerng, Informaton Scence & Applcaton Technology (ICCIA 207) Research of Dspatchng Method n Elevator Group Control System Based on Fuzzy Neural Network Yufeng

More information

High Speed ADC Sampling Transients

High Speed ADC Sampling Transients Hgh Speed ADC Samplng Transents Doug Stuetzle Hgh speed analog to dgtal converters (ADCs) are, at the analog sgnal nterface, track and hold devces. As such, they nclude samplng capactors and samplng swtches.

More information

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel To: Professor Avtable Date: February 4, 3 From: Mechancal Student Subject:.3 Experment # Numercal Methods Usng Excel Introducton Mcrosoft Excel s a spreadsheet program that can be used for data analyss,

More information

Calculation of the received voltage due to the radiation from multiple co-frequency sources

Calculation of the received voltage due to the radiation from multiple co-frequency sources Rec. ITU-R SM.1271-0 1 RECOMMENDATION ITU-R SM.1271-0 * EFFICIENT SPECTRUM UTILIZATION USING PROBABILISTIC METHODS Rec. ITU-R SM.1271 (1997) The ITU Radocommuncaton Assembly, consderng a) that communcatons

More information

Application of Intelligent Voltage Control System to Korean Power Systems

Application of Intelligent Voltage Control System to Korean Power Systems Applcaton of Intellgent Voltage Control System to Korean Power Systems WonKun Yu a,1 and HeungJae Lee b, *,2 a Department of Power System, Seol Unversty, South Korea. b Department of Power System, Kwangwoon

More information

PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION. Evgeny Artyomov and Orly Yadid-Pecht

PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION. Evgeny Artyomov and Orly Yadid-Pecht 68 Internatonal Journal "Informaton Theores & Applcatons" Vol.11 PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION Evgeny Artyomov and Orly

More information

Figure.1. Basic model of an impedance source converter JCHPS Special Issue 12: August Page 13

Figure.1. Basic model of an impedance source converter JCHPS Special Issue 12: August Page 13 A Hgh Gan DC - DC Converter wth Soft Swtchng and Power actor Correcton for Renewable Energy Applcaton T. Selvakumaran* and. Svachdambaranathan Department of EEE, Sathyabama Unversty, Chenna, Inda. *Correspondng

More information

Methods for Preventing Voltage Collapse

Methods for Preventing Voltage Collapse Methods for Preventng Voltage Collapse Cláuda Res 1, Antóno Andrade 2, and F. P. Macel Barbosa 3 1 Telecommuncatons Insttute of Avero Unversty, Unversty Campus of Avero, Portugal cres@av.t.pt 2 Insttute

More information

Guidelines for CCPR and RMO Bilateral Key Comparisons CCPR Working Group on Key Comparison CCPR-G5 October 10 th, 2014

Guidelines for CCPR and RMO Bilateral Key Comparisons CCPR Working Group on Key Comparison CCPR-G5 October 10 th, 2014 Gudelnes for CCPR and RMO Blateral Key Comparsons CCPR Workng Group on Key Comparson CCPR-G5 October 10 th, 2014 These gudelnes are prepared by CCPR WG-KC and RMO P&R representatves, and approved by CCPR,

More information

A NSGA-II algorithm to solve a bi-objective optimization of the redundancy allocation problem for series-parallel systems

A NSGA-II algorithm to solve a bi-objective optimization of the redundancy allocation problem for series-parallel systems 0 nd Internatonal Conference on Industral Technology and Management (ICITM 0) IPCSIT vol. 49 (0) (0) IACSIT Press, Sngapore DOI: 0.776/IPCSIT.0.V49.8 A NSGA-II algorthm to solve a b-obectve optmzaton of

More information

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University Dynamc Optmzaton Assgnment 1 Sasanka Nagavall snagaval@andrew.cmu.edu 16-745 January 29, 213 Robotcs Insttute Carnege Mellon Unversty Table of Contents 1. Problem and Approach... 1 2. Optmzaton wthout

More information

Graph Method for Solving Switched Capacitors Circuits

Graph Method for Solving Switched Capacitors Circuits Recent Advances n rcuts, ystems, gnal and Telecommuncatons Graph Method for olvng wtched apactors rcuts BHUMIL BRTNÍ Department of lectroncs and Informatcs ollege of Polytechncs Jhlava Tolstého 6, 586

More information

Control Chart. Control Chart - history. Process in control. Developed in 1920 s. By Dr. Walter A. Shewhart

Control Chart. Control Chart - history. Process in control. Developed in 1920 s. By Dr. Walter A. Shewhart Control Chart - hstory Control Chart Developed n 920 s By Dr. Walter A. Shewhart 2 Process n control A phenomenon s sad to be controlled when, through the use of past experence, we can predct, at least

More information

Sensors for Motion and Position Measurement

Sensors for Motion and Position Measurement Sensors for Moton and Poston Measurement Introducton An ntegrated manufacturng envronment conssts of 5 elements:- - Machne tools - Inspecton devces - Materal handlng devces - Packagng machnes - Area where

More information

@IJMTER-2015, All rights Reserved 383

@IJMTER-2015, All rights Reserved 383 SIL of a Safety Fuzzy Logc Controller 1oo usng Fault Tree Analyss (FAT and realablty Block agram (RB r.-ing Mohammed Bsss 1, Fatma Ezzahra Nadr, Prof. Amam Benassa 3 1,,3 Faculty of Scence and Technology,

More information

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985 NATONAL RADO ASTRONOMY OBSERVATORY Green Bank, West Vrgna SPECTRAL PROCESSOR MEMO NO. 25 MEMORANDUM February 13, 1985 To: Spectral Processor Group From: R. Fsher Subj: Some Experments wth an nteger FFT

More information

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate Comparatve Analyss of Reuse and 3 n ular Network Based On IR Dstrbuton and Rate Chandra Thapa M.Tech. II, DEC V College of Engneerng & Technology R.V.. Nagar, Chttoor-5727, A.P. Inda Emal: chandra2thapa@gmal.com

More information

Modelling Service Time Distribution in Cellular Networks Using Phase-Type Service Distributions

Modelling Service Time Distribution in Cellular Networks Using Phase-Type Service Distributions Modellng Servce Tme Dstrbuton n Cellular Networks Usng Phase-Type Servce Dstrbutons runa Jayasurya, Davd Green, John senstorfer Insttute for Telecommuncaton Research, Cooperatve Research Centre for Satellte

More information

Space Time Equalization-space time codes System Model for STCM

Space Time Equalization-space time codes System Model for STCM Space Tme Eualzaton-space tme codes System Model for STCM The system under consderaton conssts of ST encoder, fadng channel model wth AWGN, two transmt antennas, one receve antenna, Vterb eualzer wth deal

More information

Electricity Network Reliability Optimization

Electricity Network Reliability Optimization Electrcty Network Relablty Optmzaton Kavnesh Sngh Department of Engneerng Scence Unversty of Auckland New Zealand kav@hug.co.nz Abstract Electrcty dstrbuton networks are subject to random faults. On occurrence

More information

Generalized Incomplete Trojan-Type Designs with Unequal Cell Sizes

Generalized Incomplete Trojan-Type Designs with Unequal Cell Sizes Internatonal Journal of Theoretcal & Appled Scences 6(1): 50-54(2014) ISSN No. (Prnt): 0975-1718 ISSN No. (Onlne): 2249-3247 Generalzed Incomplete Trojan-Type Desgns wth Unequal Cell Szes Cn Varghese,

More information

A Preliminary Study on Targets Association Algorithm of Radar and AIS Using BP Neural Network

A Preliminary Study on Targets Association Algorithm of Radar and AIS Using BP Neural Network Avalable onlne at www.scencedrect.com Proceda Engneerng 5 (2 44 445 A Prelmnary Study on Targets Assocaton Algorthm of Radar and AIS Usng BP Neural Networ Hu Xaoru a, Ln Changchuan a a Navgaton Insttute

More information

Estimating Mean Time to Failure in Digital Systems Using Manufacturing Defective Part Level

Estimating Mean Time to Failure in Digital Systems Using Manufacturing Defective Part Level Estmatng Mean Tme to Falure n Dgtal Systems Usng Manufacturng Defectve Part Level Jennfer Dworak, Davd Dorsey, Amy Wang, and M. Ray Mercer Texas A&M Unversty IBM Techncal Contact: Matthew W. Mehalc, PowerPC

More information

ANNUAL OF NAVIGATION 11/2006

ANNUAL OF NAVIGATION 11/2006 ANNUAL OF NAVIGATION 11/2006 TOMASZ PRACZYK Naval Unversty of Gdyna A FEEDFORWARD LINEAR NEURAL NETWORK WITH HEBBA SELFORGANIZATION IN RADAR IMAGE COMPRESSION ABSTRACT The artcle presents the applcaton

More information

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES IEE Electroncs Letters, vol 34, no 17, August 1998, pp. 1622-1624. ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES A. Chatzgeorgou, S. Nkolads 1 and I. Tsoukalas Computer Scence Department, 1 Department

More information

Review: Our Approach 2. CSC310 Information Theory

Review: Our Approach 2. CSC310 Information Theory CSC30 Informaton Theory Sam Rowes Lecture 3: Provng the Kraft-McMllan Inequaltes September 8, 6 Revew: Our Approach The study of both compresson and transmsson requres that we abstract data and messages

More information

A Simple Satellite Exclusion Algorithm for Advanced RAIM

A Simple Satellite Exclusion Algorithm for Advanced RAIM A Smple Satellte Excluson Algorthm for Advanced RAIM Juan Blanch, Todd Walter, Per Enge Stanford Unversty ABSTRACT Advanced Recever Autonomous Integrty Montorng s a concept that extends RAIM to mult-constellaton

More information

On Operational Availability of a Large Software-Based Telecommunications System

On Operational Availability of a Large Software-Based Telecommunications System On Operatonal Avalablty of a Large Software-Based Telecommuncatons System Randy Cramp and Mladen A. Vouk Wendell Jones North Carolna State Unversty BNR Inc. Department of Computer Scence, Box 826 P.O.

More information

D-STATCOM Optimal Allocation Based On Investment Decision Theory

D-STATCOM Optimal Allocation Based On Investment Decision Theory Internatonal Conference on Computer Engneerng, Informaton Scence & Applcaton Technology (ICCIA 2016) D-STATCOM Optmal Allocaton Based On Investment Decson Theory Yongjun Zhang1, a, Yfu Mo1, b and Huazhen

More information

Parameter Free Iterative Decoding Metrics for Non-Coherent Orthogonal Modulation

Parameter Free Iterative Decoding Metrics for Non-Coherent Orthogonal Modulation 1 Parameter Free Iteratve Decodng Metrcs for Non-Coherent Orthogonal Modulaton Albert Gullén Fàbregas and Alex Grant Abstract We study decoder metrcs suted for teratve decodng of non-coherently detected

More information

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS INTRODUCTION Because dgtal sgnal rates n computng systems are ncreasng at an astonshng rate, sgnal ntegrty ssues have become far more mportant to

More information

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6)

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6) Passve Flters eferences: Barbow (pp 6575), Hayes & Horowtz (pp 360), zzon (Chap. 6) Frequencyselectve or flter crcuts pass to the output only those nput sgnals that are n a desred range of frequences (called

More information

Learning Ensembles of Convolutional Neural Networks

Learning Ensembles of Convolutional Neural Networks Learnng Ensembles of Convolutonal Neural Networks Lran Chen The Unversty of Chcago Faculty Mentor: Greg Shakhnarovch Toyota Technologcal Insttute at Chcago 1 Introducton Convolutonal Neural Networks (CNN)

More information

UNIT 11 TWO-PERSON ZERO-SUM GAMES WITH SADDLE POINT

UNIT 11 TWO-PERSON ZERO-SUM GAMES WITH SADDLE POINT UNIT TWO-PERSON ZERO-SUM GAMES WITH SADDLE POINT Structure. Introducton Obectves. Key Terms Used n Game Theory.3 The Maxmn-Mnmax Prncple.4 Summary.5 Solutons/Answers. INTRODUCTION In Game Theory, the word

More information

Introduction to Coalescent Models. Biostatistics 666 Lecture 4

Introduction to Coalescent Models. Biostatistics 666 Lecture 4 Introducton to Coalescent Models Bostatstcs 666 Lecture 4 Last Lecture Lnkage Equlbrum Expected state for dstant markers Lnkage Dsequlbrum Assocaton between neghborng alleles Expected to decrease wth dstance

More information

Digital Transmission

Digital Transmission Dgtal Transmsson Most modern communcaton systems are dgtal, meanng that the transmtted normaton sgnal carres bts and symbols rather than an analog sgnal. The eect o C/N rato ncrease or decrease on dgtal

More information

Introduction to Coalescent Models. Biostatistics 666

Introduction to Coalescent Models. Biostatistics 666 Introducton to Coalescent Models Bostatstcs 666 Prevously Allele frequences Hardy Wenberg Equlbrum Lnkage Equlbrum Expected state for dstant markers Lnkage Dsequlbrum Assocaton between neghborng alleles

More information

Time-frequency Analysis Based State Diagnosis of Transformers Windings under the Short-Circuit Shock

Time-frequency Analysis Based State Diagnosis of Transformers Windings under the Short-Circuit Shock Tme-frequency Analyss Based State Dagnoss of Transformers Wndngs under the Short-Crcut Shock YUYING SHAO, ZHUSHI RAO School of Mechancal Engneerng ZHIJIAN JIN Hgh Voltage Lab Shangha Jao Tong Unversty

More information

ISSN: (p); (e) DEVELOPMENT OF FUZZY IX-MR CONTROL CHART USING FUZZY MODE AND FUZZY RULES APPROACHES

ISSN: (p); (e) DEVELOPMENT OF FUZZY IX-MR CONTROL CHART USING FUZZY MODE AND FUZZY RULES APPROACHES DEVELOPMENT OF FUZZY IX-MR CONTROL CHART USING FUZZY MODE AND FUZZY RULES APPROACHES Azam Morad Tad, Soroush Avakh Darestan 2* Department of Industral Engneerng, Scence and Research Branch, Islamc Azad

More information

A New Type of Weighted DV-Hop Algorithm Based on Correction Factor in WSNs

A New Type of Weighted DV-Hop Algorithm Based on Correction Factor in WSNs Journal of Communcatons Vol. 9, No. 9, September 2014 A New Type of Weghted DV-Hop Algorthm Based on Correcton Factor n WSNs Yng Wang, Zhy Fang, and Ln Chen Department of Computer scence and technology,

More information

Adaptive System Control with PID Neural Networks

Adaptive System Control with PID Neural Networks Adaptve System Control wth PID Neural Networs F. Shahra a, M.A. Fanae b, A.R. Aromandzadeh a a Department of Chemcal Engneerng, Unversty of Sstan and Baluchestan, Zahedan, Iran. b Department of Chemcal

More information

Shunt Active Filters (SAF)

Shunt Active Filters (SAF) EN-TH05-/004 Martt Tuomanen (9) Shunt Actve Flters (SAF) Operaton prncple of a Shunt Actve Flter. Non-lnear loads lke Varable Speed Drves, Unnterrupted Power Supples and all knd of rectfers draw a non-snusodal

More information

A study of turbo codes for multilevel modulations in Gaussian and mobile channels

A study of turbo codes for multilevel modulations in Gaussian and mobile channels A study of turbo codes for multlevel modulatons n Gaussan and moble channels Lamne Sylla and Paul Forter (sylla, forter)@gel.ulaval.ca Department of Electrcal and Computer Engneerng Laval Unversty, Ste-Foy,

More information

RESOURCE CONTROL FOR HYBRID CODE AND TIME DIVISION SCHEDULING

RESOURCE CONTROL FOR HYBRID CODE AND TIME DIVISION SCHEDULING RESOURCE CONTROL FOR HYBRID CODE AND TIME DIVISION SCHEDULING Vaslos A. Srs Insttute of Computer Scence (ICS), FORTH and Department of Computer Scence, Unversty of Crete P.O. Box 385, GR 7 Heraklon, Crete,

More information

Joint Power Control and Scheduling for Two-Cell Energy Efficient Broadcasting with Network Coding

Joint Power Control and Scheduling for Two-Cell Energy Efficient Broadcasting with Network Coding Communcatons and Network, 2013, 5, 312-318 http://dx.do.org/10.4236/cn.2013.53b2058 Publshed Onlne September 2013 (http://www.scrp.org/journal/cn) Jont Power Control and Schedulng for Two-Cell Energy Effcent

More information

A TWO-PLAYER MODEL FOR THE SIMULTANEOUS LOCATION OF FRANCHISING SERVICES WITH PREFERENTIAL RIGHTS

A TWO-PLAYER MODEL FOR THE SIMULTANEOUS LOCATION OF FRANCHISING SERVICES WITH PREFERENTIAL RIGHTS A TWO-PLAYER MODEL FOR THE SIMULTANEOUS LOCATION OF FRANCHISING SERVICES WITH PREFERENTIAL RIGHTS Pedro Godnho and oana Das Faculdade de Economa and GEMF Unversdade de Combra Av. Das da Slva 65 3004-5

More information

MASTER TIMING AND TOF MODULE-

MASTER TIMING AND TOF MODULE- MASTER TMNG AND TOF MODULE- G. Mazaher Stanford Lnear Accelerator Center, Stanford Unversty, Stanford, CA 9409 USA SLAC-PUB-66 November 99 (/E) Abstract n conjuncton wth the development of a Beam Sze Montor

More information

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol., No., November 23, 3-9 Rejecton of PSK Interference n DS-SS/PSK System Usng Adaptve Transversal Flter wth Condtonal Response Recalculaton Zorca Nkolć, Bojan

More information

Throughput Maximization by Adaptive Threshold Adjustment for AMC Systems

Throughput Maximization by Adaptive Threshold Adjustment for AMC Systems APSIPA ASC 2011 X an Throughput Maxmzaton by Adaptve Threshold Adjustment for AMC Systems We-Shun Lao and Hsuan-Jung Su Graduate Insttute of Communcaton Engneerng Department of Electrcal Engneerng Natonal

More information

antenna antenna (4.139)

antenna antenna (4.139) .6.6 The Lmts of Usable Input Levels for LNAs The sgnal voltage level delvered to the nput of an LNA from the antenna may vary n a very wde nterval, from very weak sgnals comparable to the nose level,

More information

Side-Match Vector Quantizers Using Neural Network Based Variance Predictor for Image Coding

Side-Match Vector Quantizers Using Neural Network Based Variance Predictor for Image Coding Sde-Match Vector Quantzers Usng Neural Network Based Varance Predctor for Image Codng Shuangteng Zhang Department of Computer Scence Eastern Kentucky Unversty Rchmond, KY 40475, U.S.A. shuangteng.zhang@eku.edu

More information

Performance Evaluation of the Voltage Stability Indices in the Real Conditions of Power System

Performance Evaluation of the Voltage Stability Indices in the Real Conditions of Power System Amercan Journal of Energy and Power Engneerng 017; 4(5): 6-1 http://www.aasct.org/journal/ajepe ISSN: 375-3897 Performance Evaluaton of the Voltage Stablty Indces n the Real Condtons of Power System Rahmat

More information

RC Filters TEP Related Topics Principle Equipment

RC Filters TEP Related Topics Principle Equipment RC Flters TEP Related Topcs Hgh-pass, low-pass, Wen-Robnson brdge, parallel-t flters, dfferentatng network, ntegratng network, step response, square wave, transfer functon. Prncple Resstor-Capactor (RC)

More information

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter Walsh Functon Based Synthess Method of PWM Pattern for Full-Brdge Inverter Sej Kondo and Krt Choesa Nagaoka Unversty of Technology 63-, Kamtomoka-cho, Nagaoka 9-, JAPAN Fax: +8-58-7-95, Phone: +8-58-7-957

More information

熊本大学学術リポジトリ. Kumamoto University Repositor

熊本大学学術リポジトリ. Kumamoto University Repositor 熊本大学学術リポジトリ Kumamoto Unversty Repostor Ttle Wreless LAN Based Indoor Poston and Its Smulaton Author(s) Ktasuka, Teruak; Nakansh, Tsune CtatonIEEE Pacfc RIM Conference on Comm Computers, and Sgnal Processng

More information

Evaluate the Effective of Annular Aperture on the OTF for Fractal Optical Modulator

Evaluate the Effective of Annular Aperture on the OTF for Fractal Optical Modulator Global Advanced Research Journal of Management and Busness Studes (ISSN: 2315-5086) Vol. 4(3) pp. 082-086, March, 2015 Avalable onlne http://garj.org/garjmbs/ndex.htm Copyrght 2015 Global Advanced Research

More information

NOVEL ITERATIVE TECHNIQUES FOR RADAR TARGET DISCRIMINATION

NOVEL ITERATIVE TECHNIQUES FOR RADAR TARGET DISCRIMINATION NOVEL ITERATIVE TECHNIQUES FOR RADAR TARGET DISCRIMINATION Phaneendra R.Venkata, Nathan A. Goodman Department of Electrcal and Computer Engneerng, Unversty of Arzona, 30 E. Speedway Blvd, Tucson, Arzona

More information

Priority based Dynamic Multiple Robot Path Planning

Priority based Dynamic Multiple Robot Path Planning 2nd Internatonal Conference on Autonomous obots and Agents Prorty based Dynamc Multple obot Path Plannng Abstract Taxong Zheng Department of Automaton Chongqng Unversty of Post and Telecommuncaton, Chna

More information

Efficient Large Integers Arithmetic by Adopting Squaring and Complement Recoding Techniques

Efficient Large Integers Arithmetic by Adopting Squaring and Complement Recoding Techniques The th Worshop on Combnatoral Mathematcs and Computaton Theory Effcent Large Integers Arthmetc by Adoptng Squarng and Complement Recodng Technques Cha-Long Wu*, Der-Chyuan Lou, and Te-Jen Chang *Department

More information

1.0 INTRODUCTION 2.0 CELLULAR POSITIONING WITH DATABASE CORRELATION

1.0 INTRODUCTION 2.0 CELLULAR POSITIONING WITH DATABASE CORRELATION An Improved Cellular postonng technque based on Database Correlaton B D S Lakmal 1, S A D Das 2 Department of Electronc & Telecommuncaton Engneerng, Unversty of Moratuwa. { 1 shashka, 2 dleeka}@ent.mrt.ac.lk

More information

State Description of Wireless Channels Using Change-Point Statistical Tests

State Description of Wireless Channels Using Change-Point Statistical Tests 3 JOURNAL OF INTERNET ENGINEERING, VOL., NO., JANUARY 27 State Descrpton of Wreless Channels Usng Change-Pont Statstcal Tests Dmtr Moltchanov, Yevgen Koucheryavy, and Jarmo Harju Abstract Wreless channels

More information

Optimizing a System of Threshold-based Sensors with Application to Biosurveillance

Optimizing a System of Threshold-based Sensors with Application to Biosurveillance Optmzng a System of Threshold-based Sensors wth Applcaton to Bosurvellance Ronald D. Frcker, Jr. Thrd Annual Quanttatve Methods n Defense and Natonal Securty Conference May 28, 2008 What s Bosurvellance?

More information

Design of Shunt Active Filter for Harmonic Compensation in a 3 Phase 3 Wire Distribution Network

Design of Shunt Active Filter for Harmonic Compensation in a 3 Phase 3 Wire Distribution Network Internatonal Journal of Research n Electrcal & Electroncs Engneerng olume 1, Issue 1, July-September, 2013, pp. 85-92, IASTER 2013 www.aster.com, Onlne: 2347-5439, Prnt: 2348-0025 Desgn of Shunt Actve

More information

DETERMINATION OF WIND SPEED PROFILE PARAMETERS IN THE SURFACE LAYER USING A MINI-SODAR

DETERMINATION OF WIND SPEED PROFILE PARAMETERS IN THE SURFACE LAYER USING A MINI-SODAR DETERMINATION OF WIND SPEED PROFILE PARAMETERS IN THE SURFACE LAYER USING A MINI-SODAR A. Coppalle, M. Talbaut and F. Corbn UMR 6614 CORIA, Sant Etenne du Rouvray, France INTRODUCTION Recent mprovements

More information

Improved corner neutron flux calculation for Start-up Range Neutron Monitor

Improved corner neutron flux calculation for Start-up Range Neutron Monitor Proceedngs of Internatonal Symposum on EcoTopa Scence 2007, ISETS07 (2007) Improved corner neutron flux calculaton for Start-up ange Neutron Montor Masato Watanabe 1, Hdetsugu Okada 1 and Yosho Kmura 2

More information

Optimal Placement of PMU and RTU by Hybrid Genetic Algorithm and Simulated Annealing for Multiarea Power System State Estimation

Optimal Placement of PMU and RTU by Hybrid Genetic Algorithm and Simulated Annealing for Multiarea Power System State Estimation T. Kerdchuen and W. Ongsakul / GMSARN Internatonal Journal (09) - Optmal Placement of and by Hybrd Genetc Algorthm and Smulated Annealng for Multarea Power System State Estmaton Thawatch Kerdchuen and

More information

Topology Control for C-RAN Architecture Based on Complex Network

Topology Control for C-RAN Architecture Based on Complex Network Topology Control for C-RAN Archtecture Based on Complex Network Zhanun Lu, Yung He, Yunpeng L, Zhaoy L, Ka Dng Chongqng key laboratory of moble communcatons technology Chongqng unversty of post and telecommuncaton

More information

THEORY OF YARN STRUCTURE by Prof. Bohuslav Neckář, Textile Department, IIT Delhi, New Delhi. Compression of fibrous assemblies

THEORY OF YARN STRUCTURE by Prof. Bohuslav Neckář, Textile Department, IIT Delhi, New Delhi. Compression of fibrous assemblies THEORY OF YARN STRUCTURE by Prof. Bohuslav Neckář, Textle Department, IIT Delh, New Delh. Compresson of fbrous assembles Q1) What was the dea of fbre-to-fbre contact accordng to van Wyk? A1) Accordng to

More information

A Fuzzy-based Routing Strategy for Multihop Cognitive Radio Networks

A Fuzzy-based Routing Strategy for Multihop Cognitive Radio Networks 74 Internatonal Journal of Communcaton Networks and Informaton Securty (IJCNIS) Vol. 3, No., Aprl 0 A Fuzzy-based Routng Strategy for Multhop Cogntve Rado Networks Al El Masr, Naceur Malouch and Hcham

More information

Chapter 2 Two-Degree-of-Freedom PID Controllers Structures

Chapter 2 Two-Degree-of-Freedom PID Controllers Structures Chapter 2 Two-Degree-of-Freedom PID Controllers Structures As n most of the exstng ndustral process control applcatons, the desred value of the controlled varable, or set-pont, normally remans constant

More information

Performance Analysis of Multi User MIMO System with Block-Diagonalization Precoding Scheme

Performance Analysis of Multi User MIMO System with Block-Diagonalization Precoding Scheme Performance Analyss of Mult User MIMO System wth Block-Dagonalzaton Precodng Scheme Yoon Hyun m and Jn Young m, wanwoon Unversty, Department of Electroncs Convergence Engneerng, Wolgye-Dong, Nowon-Gu,

More information

Network Theory. EC / EE / IN. for

Network Theory.   EC / EE / IN. for Network Theory for / / IN By www.thegateacademy.com Syllabus Syllabus for Networks Network Graphs: Matrces Assocated Wth Graphs: Incdence, Fundamental ut Set and Fundamental rcut Matrces. Soluton Methods:

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 ISSN Internatonal Journal of Scentfc & Engneerng Research, Volume 4, Issue, November-203 ISSN 2229-558 33 COMPARATIVE STUDY OF HUFFMAN CODING, SBAC AND CABAC USED IN VARIOUS VIDEO CODING STANDARS AND THEIR

More information

Traffic balancing over licensed and unlicensed bands in heterogeneous networks

Traffic balancing over licensed and unlicensed bands in heterogeneous networks Correspondence letter Traffc balancng over lcensed and unlcensed bands n heterogeneous networks LI Zhen, CUI Qme, CUI Zhyan, ZHENG We Natonal Engneerng Laboratory for Moble Network Securty, Bejng Unversty

More information

Harmonic Balance of Nonlinear RF Circuits

Harmonic Balance of Nonlinear RF Circuits MICROWAE AND RF DESIGN Harmonc Balance of Nonlnear RF Crcuts Presented by Mchael Steer Readng: Chapter 19, Secton 19. Index: HB Based on materal n Mcrowave and RF Desgn: A Systems Approach, nd Edton, by

More information

Cod and climate: effect of the North Atlantic Oscillation on recruitment in the North Atlantic

Cod and climate: effect of the North Atlantic Oscillation on recruitment in the North Atlantic Ths appendx accompanes the artcle Cod and clmate: effect of the North Atlantc Oscllaton on recrutment n the North Atlantc Lef Chrstan Stge 1, Ger Ottersen 2,3, Keth Brander 3, Kung-Sk Chan 4, Nls Chr.

More information

COMPLEX NEURAL NETWORK APPROACH TO OPTIMAL LOCATION OF FACTS DEVICES FOR TRANSFER CAPABILITY ENHANCEMENT

COMPLEX NEURAL NETWORK APPROACH TO OPTIMAL LOCATION OF FACTS DEVICES FOR TRANSFER CAPABILITY ENHANCEMENT ARPN Journal of Engneerng and Appled Scences 006-010 Asan Research Publshng Networ (ARPN). All rghts reserved. www.arpnournals.com COMPLEX NEURAL NETWORK APPROACH TO OPTIMAL LOCATION OF FACTS DEVICES FOR

More information

29. Network Functions for Circuits Containing Op Amps

29. Network Functions for Circuits Containing Op Amps 9. Network Functons for Crcuts Contanng Op Amps Introducton Each of the crcuts n ths problem set contans at least one op amp. Also each crcut s represented by a gven network functon. These problems can

More information

Saidi minimization of a remote distribution feeder

Saidi minimization of a remote distribution feeder Unversty of Wollongong Research nlne Faculty of Informatcs - Papers (Archve) Faculty of Engneerng and Informaton Scences 2007 Sad mnmzaton of a remote dstrbuton feeder Ka Zou Unversty of Wollongong, kz965@uow.edu.au

More information

White Paper. OptiRamp Model-Based Multivariable Predictive Control. Advanced Methodology for Intelligent Control Actions

White Paper. OptiRamp Model-Based Multivariable Predictive Control. Advanced Methodology for Intelligent Control Actions Whte Paper OptRamp Model-Based Multvarable Predctve Control Advanced Methodology for Intellgent Control Actons Vadm Shapro Dmtry Khots, Ph.D. Statstcs & Control, Inc., (S&C) propretary nformaton. All rghts

More information

Desensitized Kalman Filtering with Analytical Gain

Desensitized Kalman Filtering with Analytical Gain Desenstzed Kalman Flterng wth Analytcal Gan ashan Lou School of Electrc and Informaton Engneerng, Zhengzhou Unversty of Lght Industry, Zhengzhou, 45002, Chna, tayzan@sna.com Abstract: he possble methodologes

More information

The Impact of Spectrum Sensing Frequency and Packet- Loading Scheme on Multimedia Transmission over Cognitive Radio Networks

The Impact of Spectrum Sensing Frequency and Packet- Loading Scheme on Multimedia Transmission over Cognitive Radio Networks Ths artcle has been accepted for publcaton n a future ssue of ths journal, but has not been fully edted. Content may change pror to fnal publcaton. The Impact of Spectrum Sensng Frequency and Pacet- Loadng

More information

Analysis of Time Delays in Synchronous and. Asynchronous Control Loops. Bj rn Wittenmark, Ben Bastian, and Johan Nilsson

Analysis of Time Delays in Synchronous and. Asynchronous Control Loops. Bj rn Wittenmark, Ben Bastian, and Johan Nilsson 37th CDC, Tampa, December 1998 Analyss of Delays n Synchronous and Asynchronous Control Loops Bj rn Wttenmark, Ben Bastan, and Johan Nlsson emal: bjorn@control.lth.se, ben@control.lth.se, and johan@control.lth.se

More information

An Interactive Fuzzy Satisfying Method based on Imperialist Competitive Algorithm for Multi-Objective Function in Reactive Power Market

An Interactive Fuzzy Satisfying Method based on Imperialist Competitive Algorithm for Multi-Objective Function in Reactive Power Market Int'l Conf. Scentfc Computng CSC'17 113 An Interactve Fuzzy Satsfyng Method based on Imperalst Compettve Algorthm for Mult-Objectve Functon n Reactve Power Maret Hedaral Shayanfar 1*, Mehd Mehdnejad 1

More information

A Mathematical Model for Restoration Problem in Smart Grids Incorporating Load Shedding Concept

A Mathematical Model for Restoration Problem in Smart Grids Incorporating Load Shedding Concept J. Appl. Envron. Bol. Sc., 5(1)20-27, 2015 2015, TextRoad Publcaton ISSN: 2090-4274 Journal of Appled Envronmental and Bologcal Scences www.textroad.com A Mathematcal Model for Restoraton Problem n Smart

More information

Traffic Modeling and Performance Evaluation in GSM/GPRS Networks

Traffic Modeling and Performance Evaluation in GSM/GPRS Networks Proceedngs of the 3th WSEAS Internatonal Conference on COMMUNICATIONS Traffc Modelng and Performance Evaluaton n GSM/ Networks Cornel Balnt, Georgeta Budura, Marza Eugen Poltehnca Unversty of Tmsoara Bd..

More information

Prevention of Sequential Message Loss in CAN Systems

Prevention of Sequential Message Loss in CAN Systems Preventon of Sequental Message Loss n CAN Systems Shengbng Jang Electrcal & Controls Integraton Lab GM R&D Center, MC: 480-106-390 30500 Mound Road, Warren, MI 48090 shengbng.jang@gm.com Ratnesh Kumar

More information

Control of Venturini Method Based Matrix Converter in Input Voltage Variations

Control of Venturini Method Based Matrix Converter in Input Voltage Variations IMECS 9, March 8 -, 9, Hong Kong Control of Venturn Method Based Matrx Converter n Input Voltage Varatons Hulus Karaca, Ramazan Akkaya Abstract Matrx converter s a sngle-stage converter whch drectly connects

More information

Particle Filters. Ioannis Rekleitis

Particle Filters. Ioannis Rekleitis Partcle Flters Ioanns Reklets Bayesan Flter Estmate state x from data Z What s the probablty of the robot beng at x? x could be robot locaton, map nformaton, locatons of targets, etc Z could be sensor

More information

Queuing-Based Dynamic Channel Selection for Heterogeneous Multimedia Applications over Cognitive Radio Networks

Queuing-Based Dynamic Channel Selection for Heterogeneous Multimedia Applications over Cognitive Radio Networks 1 Queung-Based Dynamc Channel Selecton for Heterogeneous ultmeda Applcatons over Cogntve Rado Networks Hsen-Po Shang and haela van der Schaar Department of Electrcal Engneerng (EE), Unversty of Calforna

More information

Rotational Load Flow Method for Radial Distribution Systems

Rotational Load Flow Method for Radial Distribution Systems Insttute Insttute of of Advanced Advanced Engneerng Engneerng and and Scence Scence Internatonal Journal of Electrcal and Computer Engneerng IJECE) Vol. 6, No. 3, June 016, pp. 1344 135 ISSN: 088-8708,

More information

High Speed, Low Power And Area Efficient Carry-Select Adder

High Speed, Low Power And Area Efficient Carry-Select Adder Internatonal Journal of Scence, Engneerng and Technology Research (IJSETR), Volume 5, Issue 3, March 2016 Hgh Speed, Low Power And Area Effcent Carry-Select Adder Nelant Harsh M.tech.VLSI Desgn Electroncs

More information

A Current Differential Line Protection Using a Synchronous Reference Frame Approach

A Current Differential Line Protection Using a Synchronous Reference Frame Approach A Current Dfferental Lne rotecton Usng a Synchronous Reference Frame Approach L. Sousa Martns *, Carlos Fortunato *, and V.Fernão res * * Escola Sup. Tecnologa Setúbal / Inst. oltécnco Setúbal, Setúbal,

More information

Safety and resilience of Global Baltic Network of Critical Infrastructure Networks related to cascading effects

Safety and resilience of Global Baltic Network of Critical Infrastructure Networks related to cascading effects Blokus-Roszkowska Agneszka Dzula Przemysław Journal of Polsh afety and Relablty Assocaton ummer afety and Relablty emnars, Volume 9, Number, Kołowrock Krzysztof Gdyna Martme Unversty, Gdyna, Poland afety

More information

Exploiting Dynamic Workload Variation in Low Energy Preemptive Task Scheduling

Exploiting Dynamic Workload Variation in Low Energy Preemptive Task Scheduling Explotng Dynamc Worload Varaton n Low Energy Preemptve Tas Schedulng Lap-Fa Leung, Ch-Yng Tsu Department of Electrcal and Electronc Engneerng Hong Kong Unversty of Scence and Technology Clear Water Bay,

More information

A Comparison of Two Equivalent Real Formulations for Complex-Valued Linear Systems Part 2: Results

A Comparison of Two Equivalent Real Formulations for Complex-Valued Linear Systems Part 2: Results AMERICAN JOURNAL OF UNDERGRADUATE RESEARCH VOL. 1 NO. () A Comparson of Two Equvalent Real Formulatons for Complex-Valued Lnear Systems Part : Results Abnta Munankarmy and Mchael A. Heroux Department of

More information

MODEL ORDER REDUCTION AND CONTROLLER DESIGN OF DISCRETE SYSTEM EMPLOYING REAL CODED GENETIC ALGORITHM J. S. Yadav, N. P. Patidar, J.

MODEL ORDER REDUCTION AND CONTROLLER DESIGN OF DISCRETE SYSTEM EMPLOYING REAL CODED GENETIC ALGORITHM J. S. Yadav, N. P. Patidar, J. ABSTRACT Research Artcle MODEL ORDER REDUCTION AND CONTROLLER DESIGN OF DISCRETE SYSTEM EMPLOYING REAL CODED GENETIC ALGORITHM J. S. Yadav, N. P. Patdar, J. Sngha Address for Correspondence Maulana Azad

More information

A Novel GNSS Weak Signal Acquisition Using Wavelet Denoising Method

A Novel GNSS Weak Signal Acquisition Using Wavelet Denoising Method A Novel GNSS Weak Sgnal Acquston Usng Wavelet Denosng Method Jn Tan, Lu Yang, BeHang Unversty, P.R.Chna BIOGRAPHY Jn Tan s a post-doctor n School of Electronc and Informaton Engneerng, BeHang Unversty,

More information