(Complementary E-Beam Lithography)

Similar documents
Holistic View of Lithography for Double Patterning. Skip Miller ASML

MAPPER: High throughput Maskless Lithography

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Advanced Patterning Techniques for 22nm HP and beyond

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

Optical Microlithography XXVIII

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Mask Technology Development in Extreme-Ultraviolet Lithography

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

16nm with 193nm Immersion Lithography and Double Exposure

Litho Metrology. Program

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Scaling of Semiconductor Integrated Circuits and EUV Lithography

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Lithography on the Edge

Update on 193nm immersion exposure tool

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Imaging for the next decade

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Progresses in NIL Template Fabrication Naoya Hayashi

From ArF Immersion to EUV Lithography

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

The future of lithography and its impact on design

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

DSA and 193 immersion lithography

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Registration performance on EUV masks using high-resolution registration metrology

Toward 5nm node ; Untoward Scaling with Multi-patterning

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

"L avenir est comme le reste il n est plus ce qu il était Paul Valery, Notre Destin et Les Lettres, 1937)"

Enabling Semiconductor Innovation and Growth

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

2009 International Workshop on EUV Lithography

EUVL getting ready for volume introduction

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

EUVL Challenges for Next Generation Devices

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Scope and Limit of Lithography to the End of Moore s Law

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

The Need for Multiple Alternatives for sub-20 nm Lithography

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Present Status and Future Prospects of EUV Lithography

REALISTIC METHOD OF 193 NM LITHOGRAPHY EXTENSIONS TO 1X-NM NODES

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

EUV lithography: today and tomorrow

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

EUV Supporting Moore s Law

Competitive in Mainstream Products

Lithography Industry Collaborations

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

Evaluation of Technology Options by Lithography Simulation

Metrology in the context of holistic Lithography

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

Beyond Immersion Patterning Enablers for the Next Decade

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Lecture 8 Chemical 4 Engineering for Micro/Nano Fabrication

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Optical Maskless Lithography - OML

Process Optimization

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Imec pushes the limits of EUV lithography single exposure for future logic and memory

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Managing Within Budget

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Intel Technology Journal

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

Limitations and Challenges to Meet Moore's Law

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Optics for EUV Lithography

Challenges of EUV masks and preliminary evaluation

Changing the Approach to High Mask Costs

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Energy beam processing and the drive for ultra precision manufacturing

Status and challenges of EUV Lithography

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Innovation to Advance Moore s Law Requires Core Technology Revolution

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Improving registration metrology by correlation methods based on alias-free image simulation

New CD-SEM System for 100-nm Node Process

Transcription:

Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054

Outline Complementary Lithography E-Beam Complements Optical Multibeam CEBL Approach Infrastructure for CEBL Conclusion 2

Optical Extension Makes Sense 193nm ArF (193/193i) is a growth engine Mature technology, equipment, infrastructure Advanced materials, modeling, mask making, metrology Accumulated knowledge; amortized scanners Resolution limit driving high cost for critical layers For technical and economic reasons, 193i should be extended with another lithography technology 3

Complementary Lithography 193-nm immersion could work hand-in-hand with EUV or maskless lithography to enable advanced chip designs. Borodovsky, Y., Nikon LithoVision (2010) Cut Masks: Optical: 4 EUV: 1 EBL: 0 Borodovsky, Y., SEMATECH Maskless Workshop (2010) CEBL is complementary use of EBL for Critical Layers: Cutting poly and metal lines Cutting contact and via holes 4

1D Gridded Layout: Scaling Devices IBM, GlobalFoundries, and others working on DFM Ideally, the gates would be vertical on a given pitch... and all the metal lines unidirectional. Liebmann, L. EDPS (2009) TSMC announced 65nm Slim Library with 1D Layout unidirectional poly on a fixed pitch TSMC press release (2010) Intel making 1D-layout Logic devices since 2007 Intel 65nm node (2005) Intel 45nm node (2007) Intel 32nm node (2009) Intel 22nm node (2011) 5

193i + Pitch Division: Tighter Densities Yaegashi (TEL), Litho Extensions Symposium (2010) 6

CEBL Line Cuts: Critical Layer 1D Lines Resist CEBL Patterning Etch & Strip From design to silicon 1D Layout in Design File Line Pattern Cut Pattern 193i with Pitch Division CEBL 1D Layout on Wafer Same cuts for vias and contacts 7

Multibeam CEBL Architecture Multi-column scalable architecture Leveraging SEM; eliminating magnetic field Column optimized for cutting lines and holes Columns small, fast, robust, low cost, arrayable Each module: 5 wph any wafer size incl. 450 mm Multiple modules clustered for high throughput Low volume High product mix Multi-column module Single-module system top view 8

Multibeam CEBL Scanning Vector scan shaped beam Intel 32nm node (2009) Cut-pattern density is low: ~5% Beam deflected to each cut One shot per cut One simple shape Reduce overhead, speed cutting 9

Multibeam CEBL Alignment Global alignment: off-axis, as in optical scanners Local alignment: in situ, in-process, with e-beam Each column equipped with built-in SEM Column-SEM to image alignment marks on wafer Repeat registration to improve Overlay and CDU E-Beam E-Detector in Column-SEM Wafer 10

Beam Energy (kev) Wafer Heating Multibeam CEBL Simulations Beam Profile Beam energy from <5keV to >50keV Large window to operate beam Beam Operating Window 10nm features; 50nm resist 12nm FWHM 50 40 30 20 Low Thruput Optimum Window e-e Repulsion G4 column: 10nm - 20nm features Resolution 10nm achievable D. E. Liu, et al. (Multibeam), SPIE 2011 10 0 0 Electron-Resist Interactions 10 20 30 40 50 Beam Current / Column (na) 11

Support Infrastructure 1D Gridded Layout Mask Writers Mask Shops Yield Enhancement E-Beam Inspection CEBL Ecosystem Line Patterning Line Pitch Division E-Beam Resists CEBL Cutting 12

In Conclusion 13

To Optical Extension With Optical our trusted companion, We made lots of gold from silicon. We now aspire to reach the moon, We ll need CEBL very soon. CEBL is not industry savior, Not even NGL. But CEBL loves critical layers, Optical thinks they re from hell. You know Optical fails in small features. That is a fatal flaw. But CEBL can cut for good measure, And you continue on Moore s Law. So, keep all the infrastructure, And keep your 193i. Yes, you can cut capital expenditure, And enjoy the ROI. CEBL will soon be walking tall, Side-by-side with Optical, Then, no layer will ever at all Try to be cute and critical. 14

Acknowledgement Anchor Semiconductor Gartner SEMI TEL Tela Innovations Multibeam 15