IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain

Similar documents
Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

DSA and 193 immersion lithography

MAPPER: High throughput Maskless Lithography

Role of mask on the contact etching for 14nm nodes

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

EUVL Challenges for Next Generation Devices

Imec pushes the limits of EUV lithography single exposure for future logic and memory

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

(Complementary E-Beam Lithography)

Development of Nanoimprint Mold Using JBX-9300FS

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Process Optimization

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Si and InP Integration in the HELIOS project

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Design Rules for Silicon Photonics Prototyping

16nm with 193nm Immersion Lithography and Double Exposure

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Micro-PackS, Technology Platform. Security Characterization Lab Opening

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Introduction of ADVANTEST EB Lithography System

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Optical Microlithography XXVIII

Micro Photonics, Berlin

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

Feature-level Compensation & Control

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Major Fabrication Steps in MOS Process Flow

DOE Project: Resist Characterization

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Lithography Industry Collaborations

Progresses in NIL Template Fabrication Naoya Hayashi

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Lecture 0: Introduction

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam

Application-Based Opportunities for Reused Fab Lines

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography

HOW TO CONTINUE COST SCALING. Hans Lebon

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Mask Technology Development in Extreme-Ultraviolet Lithography

Case Study: the HTA Alliance

Toward 5nm node ; Untoward Scaling with Multi-patterning

Part 5-1: Lithography

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

420 Intro to VLSI Design

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Beyond Immersion Patterning Enablers for the Next Decade

Holistic View of Lithography for Double Patterning. Skip Miller ASML

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

State-of-The-Art Dielectric Etch Technology

Nanostencil Lithography and Nanoelectronic Applications

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Litho Metrology. Program

Nanofluidic Diodes based on Nanotube Heterojunctions

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

Towards a fully integrated optical gyroscope using whispering gallery modes resonators

Real time plasma etch control by means of physical plasma parameters with HERCULES

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Progress in full field EUV lithography program at IMEC

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

Zone-plate-array lithography using synchrotron radiation

Advanced Patterning Techniques for 22nm HP and beyond

Wireless Metrology in Semiconductor Manufacturing

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

EUV Supporting Moore s Law

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

From ArF Immersion to EUV Lithography

From Possible to Practical The Evolution of Nanoimprint for Patterned Media

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Hard Disk Drive Industry Driving Areal Density and Lithography

Advancing MEMS R&D in materials, processes and devices to face major needs arising from the booming MEMS market

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Quantized patterning using nanoimprinted blanks

Introduction to VLSI ASIC Design and Technology

Generating integrated-circuit patterns via cutting and stitching of gratings

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Negative tone development process for double patterning

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

Facing Moore s Law with Model-Driven R&D

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Photolithography Technology and Application

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Transcription:

IDeAL program : DSA activity at LETI S. Tedesco R. Tiron L. Pain

Outline Why DSA for microelectronics The IDeAL progam Graphoepitaxy of BCP Contact hole application 300 mm pilot line in LETI Conclusion 2

Why DSA for Microelectronics? Block copolymers self assembly capabilities Very high resolution Low intrinsic Line Edge Roughness Easy process Low cost C MOS Lithography constraints Control the domain orientations (1D 2D) Alignment control with respect to a preview level Integration capabilities Low defectivity Respect of design rules 3

Why DSA for Microelectronics? Advanced lithography SPIE conferences universities industry and large labs 60 50 of papers on DSA nb 40 30 20 10 0 2010 2011 2012 DSA a complementary lithography techniques that could get inserted as early as the 14nm node 4

Outline Why DSA for microelectronics The IDeAL progam Graphoepitaxy of BCP Contact hole application 300 mm pilot line in LETI Conclusion 5

LETI DSA open program Insertion of Directed self Assembly Lithography 6

Directed Self Assembly : the lithography? Objectives A new open program to develop a full DSA solution Joint work in LETI environment on material, processes, demonstration & integration A cluster open to materials and equipments suppliers, IDM, EDA Partnership status July 2012 DSA material development Copolymer material worldwide leader : Collaboration with academic laboratories resist partners : under progress Equipment suppliers 2 industrial i partners End users Bilateral work with 7

Arkema in a few points Worldwide player in specialties chemistry Ranging from 1st to 3rd position in product lines insuring 80% of the company revenue. 2010 revenue : 5,9 Md R&D : > 120M / 8 R&D center WW (US, Japan, France) Annual Capex : 293 M 80 industrial sites 15 000 employees ARKEMA strength : Worldwide polymer manufacturer Strong know how on block copolymer Ability to quickly ramp up from R&D to industrial scale 8

missions? Push material platforms to maturity From lab scale to industry Evaluate advanced copolymer platform Develop 300mm patterning solutions Certify material compatibility with clean room standard Screen DSA material performances Verify transfer capabilities Scale up DSA processes to production level Compatibility with design rules Respect of ITRS standard : defectivity, throughput 9

How to go from R&D to industrial? A production oriented consortium DSA Materials Integration Scale e-up mater rial qualific cation Industrial scalability Pre-industrial reactor Lab. scale 300 mm INTEGRATION Defectivity Design compatibility Process development First 300 mm demonstration Process development Etch, Strip, Samples: Process capability Material compatibility Throughput Material properties Patterning capability Maturity III Maturit ty II Maturity I Industr rialization 10

ARKEMA LETI partnership : materials path Efficient neutralization layer Several materials under screening PS PMMA platform High platform CD 15nm CD 15nm CD = 7nm CD = 7nm 200 nm 200 nm PS-b-PMMA BCP 100 nm 200 nm High BCP 11

Outline Why DSA for microelectronics The IDeAL progam Graphoepitaxy of BCP Contact hole application 300 mm pilot line in LETI Conclusion 12

Why grapho epitaxy preference? A versatile process LETI demonstration Graphoepitaxy 193nm PCAR HSQ e-beam resist Leti approach 193nm PCAR 193nm NTD Contact shrink 13

How to find optimum guiding litho process? => Influence of Litho1 design rules & BCP material A B 200 nm C a 0 Design rule compatibility Optimization of block copolymer selfassembly through graphoepitaxy: A defectivity study R.Tiron et al., JVST B29 06F206 (2011) 200 nm 14

Zero Defect Configuration Before litho1 optimization 500 nm After litho1 optimization 500 nm Defectivity measurement enables lithography and process optimization 15

Silicon Etching with Copolymer Lithography Initial mask (PS) 30 to 100 nm thick SiO 2 Mask (10 nm) Si Si Brush opening (Ar/O 2 plasma) PS plasma treatment Si Si Silicon etching Mask etching (HBr/Cl (CF 4 based plasma) 2 /O 2 plasma) etching on graphoepitaxy Copolymer etching process fully compatible with CMOS requirements Self-assembly patterning using block copolymer for advanced CMOS technology: optimisation of plasma etching process Thierry Chevolleau, CNRS (France)- Paper 8328-20, SPIE2012 16

Silicon Etching with Copolymer Lithography Transfer of BCP into Si by using Transfer of BCP into 193 nm SiO2 hard mask trilayer 50 nm Copolymer etching process fully compatible with CMOS requirements 17

Outline Why DSA for microelectronics The IDeAL progam Graphoepitaxy of BCP Contact hole application 300 mm pilot line in LETI Conclusion 18

Contact shrink and multiplication using DSA of BCP After 193nm litho. After BCP DSA After BCP etching thi Contact Shrink 100 nm Contact Multiplication 100 nm Integration (litho+etch) demonstrated for contact shrink and multiplication 19

How to define design rules: Example of code Design Calculated CH placement BF BD SZ0 BF BD+2% SZ+0.5 BF BD-2% SZ-0.5 Simulation contour Contour variation w.r.t. dose, focus and mask CD error variations Experimental validation 53nm + Extracted Contour + Calculated CH position CH position on wafer 20

Outline Why DSA for microelectronics The IDeAL progam Graphoepitaxy of BCP Contact hole application 300 mm pilot line in LETI Conclusion 21

DSA 300 mm infrastructure on SOKUDO RF 3 track Process steps Guided litho PS r PMMA spin coat. Grafting Rinse Copolymer coating Self assembly Etching CMOS requirements h freezing neutralization Self assembly Resist hardness to bake and solvent metal/ ionic contamination solvent compatibility Bake time and temp. solvent compatibility metal/ ionic contamination solvent compatibility Throughput Defectivity Transfer capabilities Front end production 300mm track & scanner Pattern density multiplication by direct self-assembly of block copolymers: toward 300mm CMOS requirements Raluca Tiron et al, CEA-Leti (France) - Paper 8324-23, SPIE2012 22

Shrink of contact holes 300mm process graphoepitaxy with standard lithography 193nm SiARC SOC SiARC SOC BCP Si CD ~ 100nm 0.2µm CD ~ 15nm 0.2µm 23

Shrink of contact holes 300mm process north west center east south 0.5µm 24

Outline Why DSA for microelectronics The IDeAL progam Graphoepitaxy of BCP Contact hole application 300 mm pilot line in LETI Conclusion 25

To conclude DSA a complementary lithography technique that could get inserted as early as the 14nm node In a firs step by using PS b PMMA like materials Inasecondstepbyusinghigh materials A realistic application: contact hole shrink and doubling Defectivity is key Thanks for SOKUDO involvement and support 26

All this is possible thanks to: A.Gharbi, P.Pimenta-Baross, J. Dubray, S.Barnola, J.Belledent, S.Moulis, R.Tiron LETI X.Chevalier, M.Argoud, C.Navarro Arkema G.Cunge, M.Delalande, T.Chevolleau LTM GFl G.Fleury, G.Hadziioannou, GH LCPO But also LP L.Pain, IC I.Cayrefourcq and dleti peoples involved in the project 27