Litho Metrology. Program

Similar documents
(Complementary E-Beam Lithography)

Update on 193nm immersion exposure tool

Lithography. International SEMATECH: A Focus on the Photomask Industry

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Holistic View of Lithography for Double Patterning. Skip Miller ASML

From ArF Immersion to EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography

2009 International Workshop on EUV Lithography

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Challenges of EUV masks and preliminary evaluation

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Development of X-ray Tool For Critical- Dimension Metrology

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Defense Technical Information Center Compilation Part Notice

Development of ultra-fine structure t metrology system using coherent EUV source

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Progresses in NIL Template Fabrication Naoya Hayashi

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Optical Microlithography XXVIII

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Process Optimization

PERPENDICULAR FILM HEAD PROCESSING PERSPECTIVES FOR AREAL DENSITY INCREASES

membrane sample EUV characterization

Inspection of templates for imprint lithography

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

CD-SEM for 65-nm Process Node

Imaging in the EUV region. Eberhard Spiller

New methodology for through silicon via array macroinspection

Imaging for the next decade

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Competitive in Mainstream Products

Burn-in & Test Socket Workshop

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Metrology in the context of holistic Lithography

EUV Interference Lithography in NewSUBARU

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

EUVL getting ready for volume introduction

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Advanced Patterning Techniques for 22nm HP and beyond

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Mask Technology Development in Extreme-Ultraviolet Lithography

Nikon EUVL Development Progress Update

Inspection-analysis Solutions for High-quality and High-efficiency Semiconductor Device Manufacturing

Semiconductor Industry Perspective

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Lithography on the Edge

DSA and 193 immersion lithography

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Intel Technology Journal

A New Inspection Method for a EUV Mask Defect Inspection System

Tunneling Field Effect Transistors for Low Power ULSI

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

Lithography in our Connected World

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

ABSTRACT (100 WORDS) 1. INTRODUCTION

Feature-level Compensation & Control

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Innovation to Advance Moore s Law Requires Core Technology Revolution

Evaluation of Technology Options by Lithography Simulation

Micro- and Nano-Technology... for Optics

Present Status and Future Prospects of EUV Lithography

The Development of the Semiconductor CVD and ALD Requirement

Cost of Ownership Analysis for Patterning Using Step and Flash Imprint Lithography

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Lecture 8. Microlithography

MAPPER: High throughput Maskless Lithography

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Development of Nanoimprint Mold Using JBX-9300FS

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Market and technology trends in advanced packaging

Registration performance on EUV masks using high-resolution registration metrology

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B.

Enabling Semiconductor Innovation and Growth

Status and challenges of EUV Lithography

Optical Characterization and Defect Inspection for 3D Stacked IC Technology

Collaboration: The Semiconductor Industry s Path to Survival and Growth

A NEW TECHNIQUE TO RAPIDLY IDENTIFY LOW LEVEL GATE OXIDE LEAKAGE IN FIELD EFFECT SEMICONDUCTORS USING A SCANNING ELECTRON MICROSCOPE.

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

for alternating phase shift mask fabrication

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

Center for Manufacturing and Metrology

Transcription:

Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation and Metrology for Nanotechnology

Outline International Technology Roadmap for Semiconductors Litho Metrology Challenges Summary 01/20/2004 John Allgair Slide 2

ITRS: International Technology Roadmap for Semiconductors The ITRS includes the roadmap for emerging NanoTechnology and Electronics. The ITRS is sponsored by the Semiconductor Industry Association (SIA), the European Electronic Component Association (EECA), the Japan Electronics & Information Technology Industries Association (JEITA), the Korean Semiconductor Industry Association (KSIA), and Taiwan Semiconductor Industry Association (TSIA) International SEMATECH is the global communication center for this activity. The ITRS team also coordinates the USA region events. 01/20/2004 John Allgair Slide 3

ITRS Challenge for Metrology In-Time Metrology and Characterization Leading Production Technology Node = DRAM ½ Pitch 2004 2007 2010 2013 2016 2018 90nm 65 nm 45 nm 32 nm 22 nm 18 nm MPU / ASIC ½ Pitch (nm) 107 76 54 38 27 21 MPU Printed Gate Length (nm) 53 35 25 18 13 10 MPU Physical Gate Length (nm) 37 25 18 13 9 7 Leading Edge Tool Specifications set 32 nm Node Metrology R&D Materials available 10 nm structures difficult to obtain Beta Site 65 nm Node R&D 45 nm Node Early R&D 32 nm Node 01/20/2004 John Allgair Slide 4

ITRS Challenge New Materials and Structures 2004 2007 2010 2013 2016 2018 Leading Production Technology Node = DRAM ½ Pitch 90nm 65 nm 45 nm 32 nm 22 nm 18 nm Driver MPU / ASIC ½ Pitch (nm) 107 76 54 38 27 21 MPU MPU Printed Gate Length (nm) 53 35 25 18 13 10 MPU MPU Physical Gate Length (nm) 37 25 18 13 9 7 MPU 01/20/2004 John Allgair Slide 5

Messages from IC Industry In-Line Metrology must be linked to the Manufacturing Process Advanced Process Control and Advanced Equipment Control will be Necessary for NanoManufacturing Process Productivity Metrology for NanoElectronics will also be more than Dimensional and Mechanical Measurements Electrical Properties of materials and Electrical Parametrics of devices must be considered 01/20/2004 John Allgair Slide 6

Litho Metrology Challenges for Nanotechnology Critical dimension measurements Overlay control Film thickness measurements Defect control 01/20/2004 John Allgair Slide 7

Litho Metrology for Volume Manufacturing CD Control Starts at the Mask 22 nm Node - 2016 Overlay and CD Control after Exposure 6.35mm 152mm 152mm 52 nm mask line width 26 nm scattering bars CD Control after Etch EUV 13 nm printed line width 9 nm physical line width 01/20/2004 John Allgair Slide 8

Investigate High Voltage CD-SEM High Voltage CD-SEM 100 200 kev e- Comparison of conventional SE (left) and Low Loss (right) images of copper interconnects. Note the greatly enhanced surface detail and lack of edge brightness in the Low Loss image. Low loss detector Micrograph courtesy of O C Wells Figures from David Joy 01/20/2004 John Allgair Slide 9

Scatterometry for CD Measurements What are the Limits? Mirror Multi-wavelength Light Source Θ in = Θ out Real Time Calculation Polarization Sensitive Detector of line width & shape Eliminates Libraries 600 500 5 400 300 Incident Polarized White Light 0th order 200 100-480 -400-320 -240-160 -80 0 80 160 01/20/2004 John Allgair Slide 10 0

Opportunities for the distant future Electron Holography & Low Energy Electron Microscopy (LEEM) Point Projection Microscope LEEM screen reflected rays Y nanotip nanogun X bias New normal incidence David Joy, Univ. of TN Tromp and Reuter IBM Si(111) 01/20/2004 John Allgair Slide 11

Overlay Opportunities WAFERS/WK 5000 DIES/WAFER 1000 PRICE/DIE 5.00 COST/DIE 2.00 50 40 30 20 10 4nm Control Improvement Provides 20-30 M$/Yr Revenue Opportunity 0 250nm 180nm 130nm 90nm 65nm 45nm Technology Generation 6nm 4nm 2nm 01/20/2004 John Allgair Slide 12 Offset Revenue Loss ($M/YR) Microeconomics of Overlay Control at the 65nm Technology Node ISSM, September 2003

Summary With relationship to the semiconductor industry, government funding for nanotechnology metrology needs to focus on technology requirements beyond the 32 nm node (10-15 years out) Key focus areas in litho metrology include techniques for measurement and control of critical dimensions, overlay, film thickness and defectivity 01/20/2004 John Allgair Slide 13

Author s Background John Allgair, Ph.D. has worked in the semiconductor industry for 13 years in a variety of areas including design, etch, films, lithography and metrology. Currently he is a Motorola assignee to International SEMATECH responsible for coordinating litho metrology programs to meet the requirements of the International Technology Roadmap for Semiconductors. Previously he was responsible for parametric and defect metrology technology development and manufacturing implementation for Motorola's Dan Noble Center. He is on the SPIE program committee for metrology and has been published in several industry journals. He has a Ph.D. in Electrical Engineering with an emphasis in semiconductor physics and processing from Arizona State University. His dissertation research was focused on e-beam lithography for the manufacture of single electron devices as part of the DARPA ULTRA electronics program. Prior to Motorola, he worked as a process engineer for ASM America and as a systems analyst for Allied Signal. 01/20/2004 John Allgair Slide 14