Newer process technology (since 1999) includes :

Similar documents
ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

State-of-the-art device fabrication techniques

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Intel s High-k/Metal Gate Announcement. November 4th, 2003

Photolithography I ( Part 1 )

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

MICROPROCESSOR TECHNOLOGY

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

FinFET vs. FD-SOI Key Advantages & Disadvantages

Chapter 3 Basics Semiconductor Devices and Processing

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Major Fabrication Steps in MOS Process Flow

ATV 2011: Computer Engineering

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Session 3: Solid State Devices. Silicon on Insulator

Enabling Breakthroughs In Technology

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

Part 5-1: Lithography

420 Intro to VLSI Design

VLSI Design. Introduction

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Photolithography Technology and Application

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

+1 (479)

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

Semiconductor Devices

Notes. (Subject Code: 7EC5)

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

Nano Fabrication. ChiiDong Chen Institute of Physics, Academia Sinica

VLSI Design. Introduction

Topic 3. CMOS Fabrication Process

INTRODUCTION: Basic operating principle of a MOSFET:

LSI ON GLASS SUBSTRATES

EUV lithography: today and tomorrow

SPECIAL REPORT SOI Wafer Technology for CMOS ICs

Lecture #29. Moore s Law

The future of lithography and its impact on design

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap?

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Innovation to Advance Moore s Law Requires Core Technology Revolution

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

EECS130 Integrated Circuit Devices

Optical Issues in Photolithography

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Intel s Breakthrough in High-K Gate Dielectric Drives Moore s Law Well into the Future

Semiconductor Physics and Devices

DATASHEET CADENCE QRC EXTRACTION

EC0306 INTRODUCTION TO VLSI DESIGN

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

32nm Technology and Beyond

Spiral 1 / Unit 8. Transistor Implementations CMOS Logic Gates

EE301 Electronics I , Fall

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor.

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

CMOS Technology & Business Trends

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS

EECS130 Integrated Circuit Devices

Exhibit 2 Declaration of Dr. Chris Mack

Chapter 2 Silicon Planar Processing and Photolithography

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Feature-level Compensation & Control

Integrated CMOS Tri-Gate Transistors: Paving the Way to Future Technology Generations

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

CMOS Technology. 1. Why CMOS 2. Qualitative MOSFET model 3. Building a MOSFET 4. CMOS logic gates. Handouts: Lecture Slides. metal ndiff.

Processing and Reliability Issues That Impact Design Practice. Overview

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

INF4420 Layout and CMOS processing technology

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INTRODUCTION TO MOS TECHNOLOGY

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Photolithography II ( Part 2 )

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

Chapter 15 Summary and Future Trends

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

Transcription:

Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks Extreme UV EUV 13.5nm wavelength

Copper metallization Copper could not be patterned by the previous techniques of photoresist masking and plasma etching that had been used with great success with aluminium since there were no volatile copper compounds available. This inability to plasma etch copper called for a new approach to the metal patterning process and the result of this rethinking was a process referred to as an additive patterning, also known as a "Damascene" or "dual-damascene" process by analogy to a traditional technique of metal inlaying. In this process, the underlying silicon oxide insulating layer is patterned with open trenches where the conductor should be. A thick coating of copper that significantly overfills the trenches is deposited on the insulator, and chemical-mechanical planarization (CMP) is used to remove the copper (known as overburden) that extends above the top of the insulating layer. Copper sunken within the trenches of the insulating layer is not removed and becomes the patterned conductor. The first copper-based microprocessors On September 1, 1998, IBM announced the shipment of the world s first copperbased microprocessors. The IBM PowerPC 750 was originally created as a standard aluminum design operating at up to 300 MHz. By applying IBM s copper manufacturing process to what was essentially the same chip, the company was able to produce semiconductors featuring speeds of at least 400 MHz a 33 percent speed improvement for the same chip.

hi-k dielectrics for gate insulators 1.2 nm i-leakage 1x 3.0 nm i-leakage.01x Research by Intel has shown that a thicker high-k dielectric gate increases overall capacitance while decreasing the leakage current by ~100X. These new High-k materials are Hafnium-based and have k > 3.9, the dielectric constant of SiO2.

si on insulator (SOI) - refers to the use of a layered silicon-insulator-silicon substrate in place of conventional silicon substrates in semiconductor manufacturing, especially microelectronics, to reduce parasitic device capacitance, thereby improving performance. Benefits - Lower parasitic capacitance due to isolation from the bulk silicon, which improves power consumption at matched performance. - Resistance to latchup due to complete isolation of the n- and p-well structures. - Higher performance at equivalent VDD. Can work at low VDD's. - Reduced temperature dependency due to no doping. - Better yield due to high density, better wafer utilization. - Reduced antenna issues - No body or well taps are needed. - Lower leakage currents due to isolation thus higher power efficiency. - Inherently radiation hardened ( resistant to soft errors ), thus reducing the need for redundancy.

strained silicon - a layer of silicon in which the silicon atoms are stretched beyond their normal interatomic distance. This can be accomplished by putting the layer of silicon over a substrate of silicon germanium (SiGe). - When silicon is deposited on top of a substrate with atoms spaced farther apart, the atoms in silicon stretch to line up with the atoms beneath, stretching -- or "straining" -- the silicon. In the strained silicon, electrons experience less resistance and flow up to 70 percent faster, which can lead to chips that are up to 35 percent faster -- without having to shrink the size of transistors. High Stress Film SiGe SiGe PMOS NMOS ~30% drive current increase ~10% drive current increase

lo-k dielectrics for interconnects - a low-κ dielectric is a material with a small dielectric constant relative to silicon dioxide. - Replacing the silicon dioxide with a low-κ dielectric of the same thickness reduces parasitic capacitance, enabling faster switching speeds and lower heat dissipation. New low-k carbon doped oxide (CDO) used for interconnect dielectric SiN CDO provides ~20% capacitance reduction compared to SiO 2 Cu Reduced interconnect capacitance provides improved performance and lower chip power CDO SiN Cu

Immersion lithography for masks - a photolithography resolution enhancement technique for manufacturing integrated circuits (ICs) that replaces the usual air gap between the final lens and the wafer surface with a liquid medium that has a refractive index greater than one. The resolution is increased by a factor equal to the refractive index of the liquid. Current immersion lithography tools use highly purified water for this liquid, achieving feature sizes below 45 nanometers. In immersion lithography, light travels down through a system of lenses and then a pool of water before reaching the photoresist on top of the wafer.

Intel Technology Roadmap Process Name P1266 P1268 P1270 P1272 P1274 Lithography 45 nm 32 nm 22 nm 14 nm 10 nm 1 st Production 2007 2009 2011 2013 2015 Intel continues our cadence of introducing a new technology generation every two years 3

Traditional Planar Transistor Gate High-k Dielectric Source Drain Oxide Silicon Substrate Traditional 2-D planar transistors form a conducting channel in the silicon region under the gate electrode when in the on state 4

22 nm Tri-Gate Transistor Gate Drain Source Oxide Silicon Substrate 3-D Tri-Gate transistors form conducting channels on three sides of a vertical fin structure, providing fully depleted operation Transistors have now entered the third dimension! 5

22 nm Tri-Gate Transistor Gate Oxide Silicon Substrate Tri-Gate transistors can have multiple fins connected together to increase total drive strength for higher performance 6

22 nm Tri-Gate Transistor Gate Oxide Silicon Substrate Tri-Gate transistors can have multiple fins connected together to increase total drive strength for higher performance 7

22 nm Tri-Gate Transistor Gates Fins 8

Std vs. Fully Depleted Transistors Bulk Transistor Gate Oxide Gate Inversion Layer Source Drain Depletion Region Silicon Substrate Silicon substrate voltage exerts some electrical influence on the inversion layer (where source-drain current flows) The influence of substrate voltage degrades electrical sub-threshold slope (transistor turn-off characteristics) NOT fully depleted 11

Std vs. Fully Partially Depleted Transistors Depleted SOI (PDSOI) Gate Floating Body Source Drain Oxide Silicon Substrate Floating body voltage exerts some electrical influence on the inversion layer, degrading sub-threshold slope NOT fully depleted Not used by Intel 12

Std vs. Fully Depleted Transistors Fully Depleted SOI (FDSOI) Gate Source Drain Extremely thin silicon layer Oxide Silicon Substrate Floating body eliminated and sub-threshold slope improved Requires expensive extremely-thin SOI wafer, which adds ~10% to total process cost Not used by Intel 13

Std vs. Fully Depleted Transistors Fully Depleted Tri-Gate Transistor Gate Oxide Silicon Fin Silicon Substrate Gate electrode controls silicon fin from three sides providing improved sub-threshold slope Inversion layer area increased for higher drive current Process cost adder is only 2-3% 14

Transistor Operation On Current Channel Current (normalized) Planar Threshold Voltage Off Current Gate Voltage (V) Transistor current-voltage characteristics Operating Voltage 15

Transistor Operation Link to video - BJT Channel Current (normalized) Planar Tri-Gate Link to video - FET Reduced Leakage Gate Voltage (V) The fully depleted characteristics of Tri-Gate transistors provide a steeper sub-threshold slope that reduces leakage current 16

Transistor Operation Channel Current (normalized) Tri-Gate Tri-Gate Reduced Threshold Voltage Gate Voltage (V) Reduced Operating Voltage The steeper sub-threshold slope can also be used to target a lower threshold voltage, allowing the transistors to operate at lower voltage to reduce power and/or improve switching speed 17

Transistor Gate Delay Transistor Gate Delay (normalized) Slower 32 nm Planar Lower Voltage Operating Voltage (V) Transistor gate delay (switching speed) slows down as operating voltage is reduced 18

Transistor Gate Delay Transistor Gate Delay (normalized) 32 nm Planar 22 nm Planar Operating Voltage (V) transistors could provide some performance 22 nm planar improvement, but would still have poor gate delay at low voltage 19

Transistor Gate Delay Transistor Gate Delay (normalized) 37% Faster 32 nm Planar 22 nm Tri-Gate 18% Faster Operating Voltage (V) 22 nm Tri-Gate transistors provide improved performance at high voltage and an unprecedented performance gain at low voltage 20

Transistor Gate Delay Transistor Gate Delay (normalized) 32 nm Planar -0.2 V 22 nm Tri-Gate Operating Voltage (V) 22 nm Tri-Gate transistors can operate at lower voltage with good performance, reducing active power by >50% 21

Tri-Gate Transistor Benefits Dramatic performance gain at low operating voltage, better than Bulk, PDSOI or FDSOI 37% performance increase at low voltage >50% power reduction at constant performance Improved switching characteristics (On current vs. Off current) Higher drive current for a given transistor footprint Only 2-3% cost adder (vs. ~10% for FDSOI) Tri-Gate transistors are an important innovation needed to continue Moore s Law 22

22 nm Tri-Gate Circuits 364 Mbit array size >2.9 billion transistors 3 rd generation high-k + metal gate transistors Same transistor and interconnect features as on 22 nm CPUs 22 nm SRAM, Sept. 09 22 nm SRAMs using Tri-Gate transistors were first demonstrated in Sept. 09 Intel is now demonstrating the world s first 22 nm microprocessor (Ivy Bridge) and it uses revolutionary Tri-Gate transistors 23

EUV Lithography Modern semiconductor production tools use deep ultraviolet (DUV) argon fluoride (ArF) excimer lasers with 193 nm wavelength One of the key transistor density improving technologies is immersion lithography, which replaces the air gap between the lens and wafer with liquid, whose refraction index is higher than one. For example, purified deionized water has refraction index of 1.44 and this allows to enhance resolution of production tools by up to 40% depending on materials. Another key tech for contemporary semiconductor manufacturing is called multiple patterning, a semiconductor production technique that allows to increase feature density by resolving multiple lines on the same photoresist layer using multiple photomasks. Usage of multi-patterning essentially means that certain layers within one chip are exposed multiple times, which greatly increases complexity of manufacturing operations and stretches production cycles, essentially increasing costs of chips.

EUV Lithography EUV lithography, whose development started in 1985 and which used to be called Soft X-Ray, utilizes extreme ultraviolet wavelength of 13.5 nm. TSMC can produce 46 nm metal pitches with a single exposure, an operation that requires usage of four masks for an ArF scanner. Moreover, Intel has managed to produce wafers with 22 nm metal pitches using its own micro EUV tool. Among other advantages, EUV is expected to shrink cycle times and promises to increase yields of chips at advanced nodes. Unfortunately, EUV is an extremely complex technology that not only requires all-new step-and-scan systems for production of semiconductors, new chemicals and new mask infrastructure, but it is also so tricky to use that its actual resolution can end up far below expectations.

EUV Lithography It should be noted that generation of EUV light is a rather difficult process itself. Cymer, a division of ASML that produces light sources for lithography tools, is developing laser produced plasma (LPP) EUV sources. The LPP technology applies CO 2 laser to small tin droplets (which are around 30 microns in diameter), creating ionized gas plasma at electron temperatures of several tens of electron volts. The 13.5 nm radiation is then collected by a special ~0.5 meter mirror coated with several layers of molybdenum (Mo) and silicon (Si), in order to selectively reflect the maximum possible amount of 13.5 nm EUV light and direct it to the Intermediate Focus (IF) position at the entrance to the scanner system.

EUV Lithography To put it simply: in order to generate 13.5 nm EUV light in a special plasma chamber, you need a very powerful laser (because a significant amount of its power will be wasted); a generator and a catcher for tin droplets (in addition to a debris collector); as well as a special, nearly perfect, elliptical mirror. To make everything even trickier, since EUV light with 13.5 nm wavelength can be absorbed by almost any matter, EUV lithography has to be done in vacuum. This also means that traditional lenses cannot be used with EUV because they absorb 13.5 nm light; instead, specialized multilayer mirrors are used. Even such mirrors absorb about 30% of the light, which is why powerful light sources are needed. This level of absorption can lead to ablative effects on the mirrors themselves, which introduces additional engineering challenges.

EUV Lithography The 13.5 nm EUV light generator needs to have a powerful light source that can expose economically viable amount of wafers per hour (or day). One of the key issues with the TWINCSCAN NXE scanners was that is their laser produced plasma EUV source was not powerful enough. Until recently, performance of experimental EUV equipment from ASML, such as the TWINCSCAN NXE:3300B scanners, was limited to around 500 wafers per day due to power source limitations. By contrast, the current-generation TWINSCAN NXT scanners can process from 175 to 275 wafers per hour (which is good enough, considering heavy usage of multi-patterning). The reliability of the droplet generator was mediocre just about a year ago. Moreover, lifetime of the collector mirror is a yet another point of concern due to the previously mentioned ablative effects.

EUV Lithography Finally, while step-and-scan systems with EUV's 13.5 nm wavelength will help to produce microprocessors and other chips using 5 nm and, perhaps, 7nm, technologies, contemporary 193nm ArF tools are not going anywhere. Virtually all chipmakers say that EUV scanners will only be used for critical layers of chips. For layers that can be produced using multipatterning, DUV tools will be used.