ISMI 450mm Transition Program

Similar documents
ISMI 450mm Transition Program

ISMI Industry Productivity Driver

ISMI 450 mm Industry Briefing

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

The SEMATECH Model: Potential Applications to PV

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Lithography Industry Collaborations

Accelerating Growth and Cost Reduction in the PV Industry

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

From Possible to Practical The Evolution of Nanoimprint for Patterned Media

SEMATECH Defect Printability Studies

SEMI E SPECIFICATION FOR ENHANCED CARRIER HANDOFF PARALLEL I/O INTERFACE

It s Time for 300mm Prime

Growing the Semiconductor Industry in New York: Challenges and Opportunities

Advancing Industry Productivity

InAs Quantum-Well MOSFET for logic and microwave applications

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

26 June 2013 copyright 2013 G450C

Semiconductor Technology America, Inc. COMPANY PROFILE STA. (Semiconductor Technology America, Inc.)

IMI Labs Semiconductor Applications. June 20, 2016

Background: Line Item 3: Enhance the text description of the bounds of the COG volume to match the Figure 6 Modify 8.2.

REVISION TO SEMI M , MECHANICAL SPECIFICATION FOR FRONT-OPENING SHIPPING BOX USED TO TRANSPORT AND SHIP 300MM WAFERS

EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview

Lithography. International SEMATECH: A Focus on the Photomask Industry

Hard Disk Drive Industry Driving Areal Density and Lithography

Industrial Innovation Information Days Brussels 3-4 October 2017

EUV Supporting Moore s Law

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Expert. Elemental Scientific VPD-ICPMS. Fully Automated Auto Scanning System ICP ICPMS AA

MAPPER: High throughput Maskless Lithography

Metal additive manufacturing for industrial applications. Global network of solutions centres. Applications expertise for a wide range of industries

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Quality Assurance for the ATLAS Pixel Sensor

(Complementary E-Beam Lithography)

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

The Collaboration Engine: Enabling Innovation in Microelectronics

Action Line Cyber-Physical Systems Addressing the challenges and fostering innovation in Cyber-Physical Systems

Pharma Clean Assurance Programme.

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

Technology & Manufacturing Readiness RMS

Comparison of actinic and non-actinic inspection of programmed defect masks

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club

Scaling of Semiconductor Integrated Circuits and EUV Lithography

ELECTRO-STATIC CHUCKS

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Advanced Plasma Technology. High precision film thickness trimming for the TFH industry. Roth & Rau AG September 2009

A Multi-Use Low-Cost, Integrated, Conductivity/Temperature Sensor

Organic Packaging Substrate Workshop Overview

Technology and Manufacturing Readiness Levels [Draft]

Fully Automated Auto Scanning System VPD-ICPMS Expert

Wafer-Edge Challenges

IN USE: CABLE AGING MANAGEMENT

Economic Model Workshop, Philadelphia

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Intel Technology Journal

Fraunhofer IZM - ASSID

This is a preview - click here to buy the full publication

Whatever IT is... Custom &

Semiconductor Process Diagnosis and Prognosis for DSfM

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products

International SEMATECH Wafer Probe Benchmarking Project WAFER PROBE ROADMAP. Guidance For Wafer Probe R&D Resources Edition

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

WLP Probing Technology Opportunity and Challenge. Clark Liu

WHITE PAPER FACILITY FOCUS: Next Generation Aseptic Manufacturing: An Eye-Opening Peek into the Future. By: Hite Baker

Designing machines and equipment for cleanroom use

An Update from the LTE/SAE Trial Initiative

Transitioning Technology to Naval Ships. Dr. Norbert Doerry Technical Director, SEA 05 Technology Group SEA05TD

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

Volume 21 Issue IV News Review, News Analysis, Features, Research Review and much more.

Digital Innovation Hubs & Smart Specialisation

WHO WE ARE MISSION STATEMENT

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Instrumentation and Control

Iridium NEXT SensorPODs: Global Access For Your Scientific Payloads

ITRS Update (and the European situation) Mart Graef Delft University of Technology

PROCESS SPECIFICATION SURFACE FINISH REQUIREMENTS

Standardization in Horizon2020. Andreea Gulacsi, Unit Manager Research Integration CEN-CENELEC Management Centre

ACCELERATING THE FUTURE OF SEMICONDUCTORS

MINI-CIRCUITS AD FAMILY CUSTOMER SOLDERING OF MATTE TIN PLATING

Jerome Tzau TARDEC System Engineering Group. UNCLASSIFIED: Distribution Statement A. Approved for public release. 14 th Annual NDIA SE Conf Oct 2011

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1

(ksaligner & quintel resolution)

AN INTERNATIONAL REVIEW OF INDUSTRIAL INNOVATION POLICIES:

Climate Change Service

It is intended to provide an overall analysis of the Lao market and opportunities for improved cookstove (ICS) dissemination.

IEEE-SA Overview. Don Wright IEEE Standards Association Treasurer. CCSA/IEEE-SA Internet of Things Workshop 5 June 2012 Beijing, China

CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

A Semiconductor Manufacturers Perspective on Obsolescence and Counterfeiting

Active Sensors Unit assembly process for the ATLAS High Granularity Timing Device

Real-time non-contact wet or dry thickness measurement of pressure sensitive, water based, hot melt, laminating and other adhesives

Collaboration: The Semiconductor Industry s Path to Survival and Growth

RAPID FIELDING A Path for Emerging Concept and Capability Prototyping

Radio Communications. Recommendation. Executive summary. Strategic context

FI PPP. Necessity for Experimentation (in the continuity of the presentation delivered during the FIRE Week on in Lulea) Dr.

Transcription:

SEMATECH Symposium Taiwan September 7, 2010 Accelerating Manufacturing Productivity ISMI 450mm Transition Program Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

Wafer size the great cost equalizer 200mm 300mm 450mm ~1992 2000 >2012 History shows that increasing wafer surface area by ~2.25X yields a 30% cost reduction and enables the inexpensively part of Moore s Law 2

ISMI 450mm program mission and organization ISMI s 450mm mission statement Enable a cost-effective 450mm transition through coordination and development of infrastructure, guidance, and industry readiness ISMI 450mm organization 450mm transition program Supplier engagement Factory integration readiness Test wafer operations Starting materials Vacuum platform development Equipment test methods and metrics 3

450mm progress 2007 thru 2010 Wafers Mechanical wafer bank Single crystal wafer bank test wafer generation Improved wafer quality supports equipment demonstrations Equipment Equipment concepts development Supplier engagement 1st 450mm tool (bare wafer particle detector) Equipment demonstrations Factory integration Interoperability Test Bed (ITB) prototype FI components Integrating components Full interoperability testing with standard compliant components Standards First draft ballots proposed for FOUPs and Loadports Mechanical wafer standard completed FOUP and loadport standards completed Developmental wafer standard completed 2007 2008 2009 2010 4

450mm starting materials activities 5

Silicon industry update All major silicon suppliers are engaged in the 450mm program and manufacturing developmental test wafers Wafer quality is continuously improving: Scratches have been eliminated Particle levels have been reduced dramatically Surface metals metrology is in development Inspection equipment is coming on line Wafer shipping methodology is in development ISMI is engaged with suppliers to close gaps in silicon manufacturing and inspection line 6

450mm surface quality improvements 450mm Surface Quality Improvement 16 14 12 Wafer Defects (Lower is Better) 10 8 6 4 Key Surface Parameter Target 2 0 Q3'09 Q4'09 Q1'10 Q2'10 Time 2009 - Polishing has been improved scratches have been eliminated 2010 Cleaning has been improved particle levels have been reduced 10X 7

ISMI silicon activities Benchmark silicon suppliers state of the art Work with suppliers to improve wafer quality Continuous engagement with wafer inspection OEMs Validate wafers specification in preparation for prime wafer spec generation Wafer bank loan program has been complemented with OEMs starting to purchase wafers directly from suppliers SEMI spec M76 published for developmental 450mm polished single crystal silicon wafers We have expended our interface with materials suppliers beyond silicon (E.G. quartzware, sputtering targets), in support of ISMI process test wafers generation 8

ISMI test wafer operations 9

ISMI test wafer operations 450mm equipment installations continues Bare wafer particle/edge inspection Wafer cleaning Film thickness measurements EFEMs / wafer sorters FOUP wash 450mm test wafer processing experiments are progressing Wafer cleaning Metrology inspections ISMI continues working with suppliers on test wafer capabilities 10

ISMI prototype wafer cleans tool SSEC Wet clean tool installation complete in SEMATECH cleanroom SC1 / SC2 cleaning capability 12mm pitch loadport Cleaning experiments underway Cleaner wafers becoming available in ISMI wafer bank Particle experiments with loadports / carriers underway 11

450mm test wafer utilities matrix Collecting early 450mm utility projections Inputs will be used for 450mm test wafer facility planning Supplier surveys Consolidate surveys Supplier estimates for 450mm equipment facility requirements are requested 12

450mm demonstration test methodology 13

450 mm demonstration test methodology (450 DTM) The 450 DTM provides an efficient equipment demonstration model for the industry Consolidated inputs from IC makers and suppliers Clear and consistent procedures; standardized methodology for common equipment requirements Equipment demonstrations will focus on process repeatability, stability, and equipment reliability As demonstrations begin, engagement and input from IC maker and equipment suppliers is key 14

450mm factory integration 15

Reduced standards development time ISMI s ITB testing helped to enable a 60-65% time reduction in FI standards development vs. 300mm 300mm transition FI standards transition Org standards published Provisional or full standards published Decision to start wafer size transition and standards development Approved FI standards published 450mm transition Ongoing prototype testing 1995 1997 1999 2001 2007 2009 2011 16

ITB lab testing focused on the latest FOUPs, MACs and load ports FOUPs Carriers 12mm Pitch Std FOUPs MAC Carrier 12mm Pitch Std MACs TDK 12 mm pitch load port under continuous cycling Completed >123 k cycles with FOUPs Gudeng 12mm Pitch Latch Key FOUP Brooks 12mm pitch load port, compatible with FOUPs and MACs cycling at supplier site Completed >34 k cycles with FOUPs Planning MAC testing in Q3-2010 Sinfonia 12mm pitch load port cycling with latest FOUPs Completed >183 k cycles with FOUPs Working with Sinfonia to test new MAC/FOUP compatible Load port Entegris 12mm Pitch Latch Key FOUP 17

AMHS testing planned for H2 2010 450mm OHT 450mm Stocker New 450mm stockers and transport systems are under advanced development at suppliers sites ISMI will assess systems in H2 10 against ISMI 450mm guidelines and interoperability with 450mm standard carriers and load ports Goal is to demonstrate AMHS will be ready for 450mm pilot lines 18

Summary 450mm factory integration >5.0M robotic moves and >648k load port cycles completed in the ITB with prototype carriers Upgrades to prototype 12mm pitch Std FOUPs, load ports and EFEMs continued to demonstrate good interoperability and reliability Sun-setting ITB after completion of MAC, MAC load port (i.e., new standard load ports), and interoperability with FOUPs and MACs testing Working with AMHS Suppliers to complete evaluations of stockers and overhead hoist transport before end of 2010 19

450mm vacuum platform development 20

450mm vacuum platform readiness 1st 450mm vacuum platform test is ongoing 450mm vacuum platform solutions are becoming available for EFEM and chamber integration ISMI will communicate additional guidelines to suppliers and industry organizations based on test results ISMI will continue to support the integration of process chamber and platform to enable prototype 450mm equipment development 21

450mm vacuum platform roadmap Phase I : Evaluation and Motivation Host ISMI 450mm workshop, with 16 suppliers Created preliminary ISMI 450mm platform guidelines Published ISMI 450mm EQP software guidelines Phase III : Evaluate the feasibility of platform standardization Project initiation Completed test plan (platform only) Engaged with 5 vacuum platform suppliers; received 1 st test proposals Completed early evaluation for 450mm platform standardization Test 1 st 450mm platform, at supplier site Encouraged suppliers to start on site test Phase II : Testing and Integration 22

1st 450mm platform configuration RORZE Type: Pentagon Interface # : 3 process chambers, 2 Load lock 300mm and 450mm wafer handling in the same platform 23

Accelerating the next technology revolution Research Development Manufacturing 24