InGaAs MOSFETs for CMOS:

Similar documents
Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

III-V CMOS: the key to sub-10 nm electronics?

Nanoscale III-V CMOS

InGaAs MOSFET Electronics

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

InGaAs Nanoelectronics: from THz to CMOS

III-V Channel Transistors

III-V CMOS: Quo Vadis?

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

InGaAs is a promising channel material candidate for

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016

InAs Quantum-Well MOSFET for logic and microwave applications

Nanometer-scale InGaAs Field-Effect Transistors for THz and CMOS technologies

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

FinFET Devices and Technologies

CMOS beyond Si: Nanometer-Scale III-V MOSFETs

EECS130 Integrated Circuit Devices

Single suspended InGaAs nanowire MOSFETs

Nanometer-Scale III-V MOSFETs

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program.

EECS130 Integrated Circuit Devices

General look back at MESFET processing. General principles of heterostructure use in FETs

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

SUPPLEMENTARY INFORMATION

SEVERAL III-V materials, due to their high electron

In principle, the high mobilities of InGaAs and

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si

Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si

Innovation to Advance Moore s Law Requires Core Technology Revolution

Ultra High-Speed InGaAs Nano-HEMTs

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Enabling Breakthroughs In Technology

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Transistors for VLSI, for Wireless: A View Forwards Through Fog

Future MOSFET Devices using high-k (TiO 2 ) dielectric

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

GaN power electronics

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

Drain. Drain. [Intel: bulk-si MOSFETs]

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

FinFET-based Design for Robust Nanoscale SRAM

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

Lecture #29. Moore s Law

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2

Challenges and Innovations in Nano CMOS Transistor Scaling

Nanoelectronics and the Future of Microelectronics

2014, IJARCSSE All Rights Reserved Page 1352

In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth

Tunneling Field Effect Transistors for Low Power ULSI

Power, speed and other highlights at IEDM

Fully Depleted Devices

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

Fundamentals of III-V Semiconductor MOSFETs

32nm Technology and Beyond

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications

Effective Channel Mobility of AlGaN/GaN-on-Si Recessed-MOS-HFETs

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

Chapter 1. Introduction

2.8 - CMOS TECHNOLOGY

III-Nitride microwave switches Grigory Simin

Device architectures for the 5nm technology node and beyond Nadine Collaert

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

Chapter 3 Basics Semiconductor Devices and Processing

High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University

Reconfigurable Si-Nanowire Devices

MOS Capacitance and Introduction to MOSFETs

Lecture 0: Introduction

MOSFET & IC Basics - GATE Problems (Part - I)

Intel Technology Journal

FETs with Sub-10-nm Channel Formed by Directed Self-Assembly A MoS 2. van der Waals Heterojunction Tunnel Diode MoS 2

Research Title: High dielectrics on InGaAs and GaN Growth, interfacial structural studies, and surface Fermi level unpinning Date: April 18, 2011

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers

Self-Aligned-Gate GaN-HEMTs with Heavily-Doped n + -GaN Ohmic Contacts to 2DEG

Characterization of SOI MOSFETs by means of charge-pumping

FinFET vs. FD-SOI Key Advantages & Disadvantages

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 8, AUGUST

Experimentally reported sub-60mv/dec

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate

The Design and Realization of Basic nmos Digital Devices

Transcription:

InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories, MIT 1 Global Foundries 2 Sematech International Electron Devices Meeting 2013 Washington D.C., December 9, 2013 Acknowledgements: Sponsors: Intel, FCRP-MSD, Sematech, NSF, SMA, MIT-Technion Labs at MIT: MTL, NSL, SEBL 1

InGaAs High Electron Mobility Transistors g m =2.7 ms/μm Kim, IEDM 2011 InGaAs channel InAlAs barrier Main attractions of InGaAs: μ e = 6,000-30,000 cm 2 /V.s @ 300K v inj = 2.5-3.7x10 7 cm/s @ 300 K 2

InGaAs MOSFETs g m =2.7 ms/μm Lin, IEDM 2013 InGaAs channel High-K oxide * *inversion-mode Extraordinary recent progress of InGaAs MOSFETs 3

Technology issue #1: MOS gate stack Challenge: metal/high-k oxide gate stack Fabricated through ex-situ process Very thin barrier (EOT ~ 0.5 nm) Low gate leakage (I G <1 A/cm 2 at V GS =0.5 V) Low D it (<3x10 12 ev -1.cm -2 in top ~0.3 ev of bandgap and inside CB) Reliable high-k dielectric n + n + 4

Interface quality: Al 2 O 3 /InGaAs vs. Al 2 O 3 /Si Al 2 O 3 /Si Al 2 O 3 /InGaAs E v E c E v E c Werner, JAP 2011 Brammertz, APL 2009 Close to E c, Al 2 O 3 /InGaAs comparable D it to Al 2 O 3 /Si interface 5

Buried-channel vs. surface channel? Classic trade-off: Surface channel: high scalability but low mobility (µ e <2,000 cm 2 /V.s) Buried channel: high mobility but high EOT and t barr µ e 12 nm Al 2 O 3 InP good choice for barrier: wide E g, lattice matched to In 0.53 Ga 0.47 As Urabe, ME 2011 6

HfO 2 vs. Al 2 O 3 in buried-channel MOSFETs D it (x10 12 cm -2 ev -1 ) 100 10 E V HfO 2 500 C PDA Al 2 O 3 InP E C 1-0.8-0.4 0.0 0.4 0.8 E-E i (ev) Galatage - UT Dallas, 2012 I d (A/ m) 10-4 10-5 10-6 10-7 10-8 10-9 L g = 150 nm V ds = 50 mv S~85 mv/dec HfO 2 (2 nm) Al 2 O 3 /HfO 2 (0.4/2 nm) -0.4-0.2 0.0 0.2 0.4 V gs (V) HfO 2 (2 nm) directly on InP (1 nm): Low D it close to E c Steep subthreshold swing Low I off (na/μm range) EOT~0.8 nm EOT~1 nm Lin, IEDM 2012 7

HfO 2 in surface-channel MOSFETs EOT~0.5 nm EOT~0.8 nm Lin, IEDM 2013 HfO 2 (2.5 nm) directly on InGaAs: Comparable S as buried-channel device EOT I d Low ALD temperature key D it ~2x10 12 ev -1.cm -2 Suzuki, JAP 2012 8

Pristine interface for high MOS quality SiO 2 Mo n+ n + cap cap i-inp Channel -Si Semiconductor surface exposed immediately before MOS formation Barrier: InP (1 nm) + Al 2 O 3 (0.4 nm) + HfO 2 (2 nm) Lin, IEDM 2012 S = 69 mv/dec at V DS = 50 mv Close to lowest S reported in any III-V MOSFET: 66 mv/dec [Radosavljevic, IEDM 2011] 9

Technology issue #2: ohmic contacts Challenge: nanometer-scale ohmic contacts with low R c Tiny (L c < 30 nm) Low contact resistance (R c < 50 Ω.µm) Self-aligned to gate (L side < 10 nm) 10

New nano-tlm test structure to characterize short contacts csch 2 csch coth 2 Lu, EDL (submitted) Decouples impact of metal resistance on short contacts 11

Contact-first process for Mo-InGaAs ohmic contacts Fabrication process: Surface cleaning Mo deposition E-beam lithography Mo RIE Mesa isolation Pad metallization Lu, EDL (submitted) Contact anneal Achieved contacts with length down to 19 nm Contact-first process preserves high-quality interface 12

Nanometer-scale Mo-InGaAs contacts Mo on n + -In 0.53 Ga 0.47 As: Lu, EDL (submitted) Dormaier JVSTB 2012 Singisetti APL 2008 Baraskar JAP 2013 Crook APL 2007 Lin JAP 2013 6.6 Ω.μm R c blows up for very small contacts with L c < L t = 113 nm R c ~ 40 Ω.μm for L c ~ 20 nm Average c = 0.69. m 2 Contacts thermally stable up to 400 o C 13

Ni-InGaAs ohmic contact Subramanian, JES 2012 Oxland, EDL 2012 Ni diffused into InGaAs at 250 o C Kim, IEDM 2010 Ni-InGaAs formed Unreacted Ni removed using HCl-based selective etchant R c ~ 50. m demonstrated [Kim VLSI Tech 2013] 14

Technology issue #3: self-aligned MOSFET architectures Challenge: ohmic contacts very closely spaced from gate Design of access region Must maintain high-quality MOS interface and low R c Gate-first process: silicided S/D Gate-first process: regrown S/D Gate-last process: recessed S/D Hill, IEDM 2010 Kim, VLSI Tech 2013 Egard, IEDM 2011 Zhou, IEDM 2012 Lee, VLSI Tech 2013 Radosavljevic, IEDM 2009 Lin, IEDM 2012 15

Gate-last self-aligned InGaAs MOSFETs Ohmic contact first (Mo) Extensive RIE (F-based) Interface exposed immediately before gate stack formation Process designed to be compatible with Si fab RIE damage annealed at 340 o C: Lin, IEDM 2012 16

Gate-last self-aligned InGaAs MOSFETs Lin, IEDM 2012 Lin, IEDM 2013 W Mo L g =50 nm L side Buried-channel (EOT~0.8 nm) Wet semiconductor etch L side ~ 30 nm Surface-channel (EOT~0.5 nm) Dry semiconductor etch + digital etch of cap L side ~ 5 nm 17

Impact of L side L g = 70 nm L side g m S I on at fixed I off GIDL I on ( A/ m) 500 Lin, IEDM 2013 400 300 200 I off =100 na/ m, V dd =0.5 V 100 0 50 100 150 200 L g (nm) 18

Technology issue #4: Tri-gate MOSFET Challenge: acceptable I ON and SCE on a small-footprint Planar design does not provide enough electrostatic integrity Need tighter channel control through 3D device design Wu, IEDM 2009 Radosavljevic, IEDM 2010 Chin, EDL 2011 Radosavljevic, IEDM 2011 Planar MOSFET Tri-gate MOSFET 19

Direct fin growth by Aspect Ratio Trapping Fin formation Fin etch by RIE + digital etch 20 nm Some defects reach surface Inter-diffusion of dopant species BCl 3 /SiCl 4 /Ar RIE chemistry Digital etch: self-limiting (2 nm/cycle) No notching in heterostructures Fiorenza, ECST 2010 Waldron, ECST 2012 Zhao, IEDM 2013 20

Mo contacts to fin Mo Mo-first process Mo used as mask for fin etch Mo sidewall contacts 100 nm Mo Mo on sidewalls With top Mo contact: R c ~ 7 Ω.μm With sidewall contact: R c ~ 12 Ω.μm 21

Fin sidewall MOS Double-gate sidewall MOSFET to study sidewall MOS quality Mo SiO 2 25 nm Al 2 O 3 W f =30 nm V GS =0.5 V 10 I D [ A m] 10 5 V GS =0.3 V V GS =-0.1 V V GS =0.1 V 0 0.0 0.1 0.2 0.3 0.4 0.5 V GS [V] I D [ A/ m] 1 0.1 W f =35 nm 0.01 1E-3 W f =30 nm 1E-4 W f =25 nm -1 0 1 2 V GS [V] At sidewall: D it ~ 1.4x10 13 ev -1.cm -2 22

Conclusions Remarkable recent progress in InGaAs MOSFETs g m (MOSFET) = g m (HEMT) R on (MOSFET) < R on (HEMT) Very low R c contacts at close to target length Compact, self-aligned devices; link to be engineered to balance performance and SCE Good quality MOS stack close to target EOT Many issues to investigate: Tri-gate technology, integration with p-mosfets on Si, reliability 23