Flare compensation in EUV lithography

Similar documents
Optical Proximity Effects

Key Photolithographic Outputs

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

More on the Mask Error Enhancement Factor

Optolith 2D Lithography Simulator

Reducing Proximity Effects in Optical Lithography

Using the Normalized Image Log-Slope, part 2

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Optical Projection Printing and Modeling

Synthesis of projection lithography for low k1 via interferometry

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

16nm with 193nm Immersion Lithography and Double Exposure

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Design Rules for Silicon Photonics Prototyping

Comparison of actinic and non-actinic inspection of programmed defect masks

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Progress in full field EUV lithography program at IMEC

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

IIL Imaging Model, Grating-Based Analysis and Optimization

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Purpose: Explain the top advanced issues and concepts in

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The Formation of an Aerial Image, part 3

Optical Proximity Effects, part 2

EUV Interference Lithography in NewSUBARU

Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Horizontal-Vertical (H-V) Bias

Radial Polarization Converter With LC Driver USER MANUAL

2009 International Workshop on EUV Lithography

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

EE-527: MicroFabrication

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Compensation of hologram distortion by controlling defocus component in reference beam wavefront for angle multiplexed holograms

Line End Shortening, part 2

Optical Maskless Lithography - OML

EUV Substrate and Blank Inspection

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Update on 193nm immersion exposure tool

optical and photoresist effects

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Optical Proximity Effects, part 3

Modeling of EUV photoresists with a resist point spread function

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

OPC Rectification of Random Space Patterns in 193nm Lithography

What s So Hard About Lithography?

Chapter 28 Physical Optics: Interference and Diffraction

Evaluation of Technology Options by Lithography Simulation

Developing an Integrated Imaging System for the 70 nm Node Using High Numerical Aperture ArF Lithography

Photolithography II ( Part 2 )

Modulation Transfer Function

Chapter 15 IC Photolithography

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Mirror-based pattern generation for maskless lithography

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

Microlens formation using heavily dyed photoresist in a single step

Testing Aspheric Lenses: New Approaches

Nikon F2 Exposure Tool

Coding & Signal Processing for Holographic Data Storage. Vijayakumar Bhagavatula

First lithographic results from the extreme ultraviolet Engineering Test Stand

Double Exposure Using 193nm Negative Tone Photoresist

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

An Off-Axis Hartmann Sensor for Measurement of Wavefront Distortion in Interferometric Detectors

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Sub-50 nm period patterns with EUV interference lithography

AgilOptics mirrors increase coupling efficiency into a 4 µm diameter fiber by 750%.

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline

Lithography on the Edge

Contrast Enhancement Materials CEM 365HR

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Lecture 5. Optical Lithography

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Process Optimization

The Formation of an Aerial Image, part 2

Chapter 35. Interference. Optical Interference: Interference of light waves, applied in many branches of science.

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Depth of Focus, part 2

A process for, and optical performance of, a low cost Wire Grid Polarizer

SUPPLEMENTARY INFORMATION

PolarCam and Advanced Applications

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Transcription:

Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian, Robert Boone, Kevin Lucas, Scott Hector, Vladimir Ivin*, Mikhail Silakov*, and George Babushkin* *SOFT-TEC MOTOROLA and the Stylized M Logo are registered in the US Patent & Trademark Office. All other product or service names are the property of their respective owners. Motorola, Inc. 2002.

Outline Description of EUV flare Calculation details Compensation strategies Conclusions

Flare in EUV lithography Cause: surface roughness on optics Scales as 1/λ 2, so more problematic at EUV wavelengths Effects: Scatters light out of bright regions and into dark regions reduces contrast Couples local light intensity to features 1000 s of mm away pattern dependent Simple calculations 1% (absolute) change in flare causes 0.86 nm CD change

Estimating impact of flare variation on CD control Statistical simulation parameters (CCI design) Average mask transmission: 80 90% Mean focus error: -0.05 0.05 µm Cross-slit focus variation (1σ): 0.006 0.008 µm Mean dose error: ±10% Cross-slit dose variation (1σ): 2.0 2.6% Mean flare: 10 15% Flare variation (1σ): 1 3% (absolute) 500 calculations per set of conditions Constant simulation parameters 45nm lines on a 110nm pitch Partial coherence = 0.7 NA = 0.25 Wavefront error = 0.045λ Absorber stack 120nm thick Normal incidence

Simulation results Term Flare_Var(1,3) Def_Err(-0.05,0.05) Dose_Mean(0.27,0.33) Flare_Mean(10,15) Mask_T(80,90) Def_Err(-0.05,0.05)*Flare_Var(1,3) Def_Var(0.02,0.03) Mask_T(80,90)*Flare_Mean(10,15) Def_Var(0.02,0.03)*Dose_Mean(0.27,0.33) Mask_T(80,90)*Dose_Mean(0.27,0.33) Orthog Estimate -0.0424507 0.03301723 0.01629922-0.0122251-0.0108554-0.0083664-0.0074315-0.0037086-0.0029393-0.0029023 Flare variation is largest factor influencing CD control Effect of flare variation is 3.5X the effect of mean flare Model predicts 1σ flare variation must be less than 1.7% (absolute) for ±10% CD control at -0.05 µm focus error

10 13 Flare calculations, p. 1 PSD on optics PSF in image 10 11 10 9 r = λzf 10-9 10-11 PSD (nm 4 ) 10 7 10 5 PSF (nm -2 ) 10-13 10-15 1000 10-17 10 10-19 0.1 10-8 10-7 10-6 10-5 0.00010.001 0.01 0.1 Spatial Frequency (nm -1 ) 10-21 0.1 1 10 100 1000 10 4 10 5 10 6 Radial Distance (nm) Stearns et al. (J. Appl. Phys. 84, 1998): I x, y) I ( x, y) + I ( x, y) PSF( x, ( 0 0 y )

Flare calculations, p. 2 Aberrations F = <T> PSF(d) 10-9 10-11 Stearns PSF (nm -2 ) 10-13 10-15 10-17 10-19 r = λ NR 2( NA) 10-21 0.1 1 10 100 1000 10 4 10 5 10 6 Radial Distance (nm)

Memory Logic Flare calculations Data scaled so that poly lines are 0.09 µm (appropriate for 0.1 NA ETS) Include measured aberrations from ETS field center Average flare over 0.09x0.09 µm 2 regions inside of a 2x2 mm 2 section of mask data

Calculation results 18 16 Percent Flare 14 12 10 8 Memory 6 Logic 4 0 50 100 150 200 250 300 Distance from Corner (µm)

Proposed compensation strategies (Krautschik et al.) Selective sizing Resize lines according to known d(cd)/df response Apply global resizing in middle of mask where flare variation assumed to be small Apply local resizing in corners where variation is largest Iterate to convergent solution Dummification (i.e., tiling) Reduce flare variation by reducing pattern density variation Add dummy features to areas of low pattern density Dummy features must not interfere with circuit function

No place to apply global resizing 20 15 Percent Flare 10 5 0 0 500 1000 1500 2000 2500 3000 Distance from Corner (µm)

Effects of sizing on process window I x, y) = I ( x, y) (1 F) + F ( 0 NA = 0.25, Θ i = 6, σ = 0.7, λ = 13.5 nm, <T> = 75% T 1.2 1 Scalar, no flare, no aberrations 0.035 0.03 5% flare and 17.5%, best dose Relative Intensity 0.8 0.6 0.4 0.2 17.5% flare 5% flare 0-0.06-0.04-0.02 0 0.02 0.04 0.06 Horizontal Position ( µm) CD (µm) 0.025 0.02 0.015 0.01 0.005 17.5% flare with bias 17.5% flare, common dose 0-0.2-0.15-0.1-0.05 0 0.05 0.1 0.15 0.2 Defocus (µm)

Overlapping process window for dense and isolated lines possible with biasing but smaller window than without flare variation -0.2 0 0.2 Defocus (microns) 25% average pattern density 0.037 0.035 0.033 0.031 0.029 0.027 0.025 Aerial image linewidth (microns) 30 nm isolated line, 10% flare 31 nm dense line, 10% flare 30 nm isolated line, 15% flare 31 nm dense line, 15% flare 35 nm in resist Dense: 90 nm pitch Isolated: 270 nm pitch

MEEF effects minimal, and mean-totarget CD control not critical for biasing MEEF 1.06 1.04 1.02 1 0.98 0 10 20 Mean flare (% absolute) Best focus -50 nm defocus 50 nm defocus MEEF varies with flare and focus Effect of focus larger but only 1-2% Aerial image linewidth (microns) 0.04 0.035 0.03 0.025 25 35 Mask linewidth (nm) Best focus 50 nm of defocus -50 nm of defocus Linear behavior implies MTT CD control not critical 35 nm in resist; 90 nm pitch

Various tiling algorithms tried Origins in CMP processes Rule-based Insert dummy features in all appropriate empty space Increases pattern density uniformity over short length scales Model-based CMP Insert tiles according to empirical model that relates pattern density and polish uniformity Considers weighted pattern densities over mm length scales Model-based EUV Place subresolution tiles Minimize pattern density variation with optimization calculation that attempts to consider all relevant length scales in PSF Extend tile placement into borders with model-based CMP algorithm

Tiles from EUV algorithm Circuit features Tiles

Effects of tiles on flare 20 Percent Flare 15 10 5 Uncompensated EUV tiling 0 Rule-based tiling 0 50 100 150 200 250 300 Dis t ance from Corne r ( µm)

Conclusions Barring significant improvements in EUV optical fabrication technology, mask compensation will be required to reduce flare variation Selective sizing is feasible but is computationally expensive and reduces the focus latitude Tiling also reduces variation but certain features are not tiling-friendly Both selective sizing and tiling will likely be required for full compensation