Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si

Similar documents
Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

Single suspended InGaAs nanowire MOSFETs

III-V CMOS: Quo Vadis?

Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach

Nanoscale III-V CMOS

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

InGaAs MOSFETs for CMOS:

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

InGaAs MOSFET Electronics

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

SUPPLEMENTARY INFORMATION

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

III-V Channel Transistors

III-V CMOS: the key to sub-10 nm electronics?

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

III-V Nanowire Complementary Metal-Oxide Semiconductor Transistors Monolithically Integrated on Si

FinFET Devices and Technologies

InGaAs is a promising channel material candidate for

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

EECS130 Integrated Circuit Devices

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr)

InGaAs Nanoelectronics: from THz to CMOS

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

25 GHz and 28 GHz wide tuning range130 nm CMOS VCOs with ferroelectric varactors

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

SEVERAL III-V materials, due to their high electron

MOSFET & IC Basics - GATE Problems (Part - I)

Semiconductor Physics and Devices

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program.

Performance Analysis of InGaAs Double Gate MOSFET

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

InAs Quantum-Well MOSFET for logic and microwave applications

EECS130 Integrated Circuit Devices

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

CHAPTER 3 TWO DIMENSIONAL ANALYTICAL MODELING FOR THRESHOLD VOLTAGE

Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs

GRADE Graphene-based Devices and Circuits for RF Applications Collaborative Project

Semiconductor Nanowires for photovoltaics and electronics

Drain. Drain. [Intel: bulk-si MOSFETs]

Alternative Channel Materials for MOSFET Scaling Below 10nm

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

A 100MHz CMOS wideband IF amplifier

NWFET Ring Oscillator Simulations

Tunneling Field Effect Transistors for Low Power ULSI

In principle, the high mobilities of InGaAs and

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

CMOS beyond Si: Nanometer-Scale III-V MOSFETs

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

Semiconductor TCAD Tools

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

按一下以編輯母片標題樣式. Novel Small-Dimension Poly-Si TFTs with Improved Driving Current and Suppressed Short Channel Effects. Hsiao-Wen Zan and Chun-Yen Chang

INTRODUCTION: Basic operating principle of a MOSFET:

General look back at MESFET processing. General principles of heterostructure use in FETs

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions

Topic 3. CMOS Fabrication Process

A 25-GHz Differential LC-VCO in 90-nm CMOS

A 13.56MHz RFID system based on organic transponders

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Supporting Information

GaN power electronics

Performance Evaluation of MISISFET- TCAD Simulation

Power MOSFET Zheng Yang (ERF 3017,

Nanometer-Scale III-V MOSFETs

4.1 Device Structure and Physical Operation

Reconfigurable Si-Nanowire Devices

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Effect over Bulk MOSFET at 20nm

1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016

Title. Author(s)Uemura, T.; Baba, T. CitationIEEE Transactions on Electron Devices, 49(8): Issue Date Doc URL. Rights.

Normally-Off Operation of AlGaN/GaN Heterojunction Field-Effect Transistor with Clamping Diode

Fabrication and Characterization of Pseudo-MOSFETs

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Nanofluidic Diodes based on Nanotube Heterojunctions

FinFET vs. FD-SOI Key Advantages & Disadvantages

Vertical Surround-Gate Field-Effect Transistor

Department of Electrical Engineering IIT Madras

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

+1 (479)

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Supplemental information for Selective GaSb Radial Growth on Crystal Phase Engineered InAs Nanowires

X-ray Radiation Hardness of Fully-Depleted SOI MOSFETs and Its Improvement

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

Transcription:

Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si Berg, Martin; Persson, Karl-Magnus; Kilpi, Olli-Pekka; Svensson, Johannes; Lind, Erik; Wernersson, Lars-Erik Published in: Technical Digest - International Electron Devices Meeting, IEDM DOI:.9/IEDM.25.74986 26 Link to publication Citation for published version (APA): Berg, M., Persson, K-M., Kilpi, O-P., Svensson, J., Lind, E., & Wernersson, L-E. (26). Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si. In Technical Digest - International Electron Devices Meeting, IEDM (Vol. 26-February). [74986] Institute of Electrical and Electronics Engineers Inc.. DOI:.9/IEDM.25.74986 General rights Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights. Users may download and print one copy of any publication from the public portal for the purpose of private study or research. You may not further distribute the material or use it for any profit-making activity or commercial gain You may freely distribute the URL identifying the publication in the public portal Take down policy If you believe that this document breaches copyright please contact us providing details, and we will remove access to the work immediately and investigate your claim. L UNDUNI VERS I TY PO Box7 22L und +4646222

Self-Aligned, Gate-Last Process for Vertical InAs Nanowire MOSFETs on Si Martin Berg, Karl-Magnus Persson, Olli-Pekka Kilpi, Johannes Svensson, Erik Lind, and Lars-Erik Wernersson Department of Electrical and Information Technology, Lund University, Box 8, Lund, Sweden E-mail: martin.berg@eit.lth.se Phone: +4646222 I. ABSTRACT Top Contact a In this work, we present a novel self-aligned gatelast fabrication process for vertical nanowire metal-oxidesemiconductor field-effect transistors. The fabrication method allows for exposure dose-defined gate lengths and a local diameter reduction of the intrinsic channel segment, while maintaining thicker highly doped access regions. Using this process, InAs nanowire transistors combining good on- and off-performance are fabricated demonstrating Q = g m,max /SS = 8.2, which is higher than any previously reported vertical nanowire MOSFET. 2 nm n - -InAs n ++ -InAs Si HSQ Mask Source Substrate c) d) Nanowire Growth HSQ definition (9-22 nm) W sputtering (2 nm) b TiN ALD (5 nm) ICP/RIE metal etching HSQ removal (HF) SiO 2 spacer definition High-κ: Al 2 O 3 /HfO 2 II. INTRODUCTION III-V compound semiconductors on Si substrates are expected to appear in commercial complementary metal-oxidesemiconductor (CMOS) implementations within a couple of years [], taking advantage of the excellent transport properties of these semiconductors to reach faster and more energyefficient circuits. One way to ensure high-quality materials for such highly lattice-mismatched integration, is through the use of a nanowire geometry [2]. Vertical nanowire MOSFETs allow for small footprints, as the channel and metal contact lengths are decoupled. It has been suggested that such integration can outperform lateral devices at highly scaled technology nodes [3], [4]. Furthermore, the geometry simplifies the fabrication of a gate-all-around transistor, which ensures good electrostatic control of the transistor channel. Reducing the nanowire diameter further improves electrostatics, but can also increase the series resistance from the ungated regions as well as increasing the metal-semiconductor contact resistance. One way of reducing these resistances is through high doping in the contact regions, which could be accomplished during nanowire growth. However, high-precision doping control of the nanowire core along the axial direction has proven very challenging [5], resulting in imprecise alignment of the electrodes and separation layers. To address these issues, we have developed a self-aligned, gate-last process, allowing for local reduction of the nanowire diameter in the channel region using digital etching. InAs nanowires with a doped outer shell around an undoped core are used to implement transistors with a thin intrinsic channel and thicker doped contact regions. Furthermore, the process allows for the fabrication of MOSFETs with varying gate lengths, L G, on the same sample. Using the described methods, the best combined performance of transconductance and subthreshold slope for any vertical nanowire MOSFET is demonstrated. W SiO 2 Gate Spacer Metal Organic Drain Spacer c d Digital etching (HCl) High-k ALD W gate definition Organic spacer 2 definition Via hole formation Top metal deposition Finished device Fig.. Cross-sectional schematic illustrations of the most crucial steps in the self-aligned, gate-last fabrication process corresponding to the steps of the process flow chart. III. DEVICE FABRICATION InAs nanowire MOSFETs are fabricated on lowly p-doped Si () substrates with an epitaxially grown InAs buffer layer [6]. The InAs layer serves both as a buffer layer for nanowire growth and as a low-resistive device bottom contact, avoiding transport over the InAs/Si heterojunction potential barrier [7]. The nanowires are grown using metal organic vapor-phase epitaxy (MOVPE) using the vapor-liquid-solid (VLS) method from electron-beam defined Au particles positioned in double-row arrays with 2 nm spacing. The nanowires consist of a 2 nm long undoped core segment with a diameter of 35 nm, followed by a 4 nm highly doped top segment. By increasing the group V to group III molar ratio in the second step, the highly n-doped InAs also overgrows on the undoped section, forming a nm thick shell surrounding the undoped core, as illustrated in Fig. a. To define the top contact, hydrogen silsesquioxane (HSQ) is applied and exposed with an electron beam at an acceleration voltage of 5 kv, where the exposure dose determines

2 nm 2 nm Drain Gate.5.4.3.2. Virtual Source Model.2 V VGS.7 V ΔVGS =. V gm/gd 25 2 5 5 VDS =.5 V VDS =. V VDS =.2 V VDS =.3 V VDS =.4 V VDS =.5 V VDS =.6 V Source Fig. 2. Scanning electron micrographs of nanowires after thinning of the channel region using digital etching and after the complete fabrication. Both images are taken at a tilt of 52 from top-view. the thickness of the film after development. The top metal contact is formed by sputtering of 2 nm W and atomic layer deposition (ALD) of 5nm TiN. The metal layers are dry etched anisotropically, removing the planar layer keeping only the metal on the nanowire sidewalls, as illustrated in Fig. b. The HSQ is subsequently wet etched using HF. SiO 2 is deposited using ALD followed by etch back of a spin-on resist. This resist serves as etch mask for HF wet etching of SiO 2 from the nanowire sidewalls, which results in a 2-nm-thick SiO 2 separation layer between gate and source. This spacer, together with the top metal, also serves as an etch mask for digital etching of the nanowires using alternating O 3 oxidation for min at 5 C and HCl : H 2 O (:) etching for 5 s. The segment not protected by etch masks, corresponding to L G, is ultimately determined by the exposure dose of the top metal definition, and in this case varied between 7 and 2 nm. A channel diameter of 28 nm is fabricated while keeping thicker doped regions underneath the top contact and the bottom spacer. An ALD high-κ oxide, corresponding to an approximate EOT of.5nm, consisting of a bi-layer of Al 2 O 3 and HfO 2, is deposited at 3 C and 2 C, respectively. This is followed by gate metal sputtering of W and definition of the gate edge using an..2.3.4.5.6 V DS [V].2.4.6.8.2 g m [ms/μm] Fig. 4. Output characteristics for the same transistor as in Fig. 3 together with the corresponding virtual source modeling. Voltage gain, g m/g d,as a function of g m for the same device. etched back spin-on resist. An illustration can be seen in Fig. c, showing an overlapping gate on the top side and edge-to-edge alignment on the source-side. A nm organic second spacer is fabricated followed by sputtering of the top metal electrode stack with the final device architecture shown in Fig. d. Scanning electron micrographs of the devices after the thinning of the channel region and after the complete fabrication are shown in Fig. 2a and Fig. 2b, respectively. IV. RESULTS AND DISCUSSION The transfer characteristics for a vertical InAs nanowire MOSFET with a channel diameter of 28 nm and a gate length of 9 nm can be seen in Fig. 3. A peak transconductance, g m,max,of.85 ms µm, normalized to the circumference, and a minimum subthreshold swing, SS, of54 mv dec is measured at V DS =.5V. Furthermore, enhancement mode operation is observed with a V T =.3V. The device characteristics are modeled using a virtual source model [8], and show good fit to measured transfer and output data, illustrated in Fig. 3a and Fig. 4a, using an injection velocity, v inj,of.9 7 cm s and an electron mobility, μ e,of4 cm 2 V s. Fig. 4b shows the voltage gain, g m /g d, as a function of g m and V DS for the same device. The good electrostatic control provides high gain at low.45.375.3.225.5 Virtual Source Model Measured ID Measured gm VDS =.5 V VT =.3 V vinj =.9 7 cm/s μ = 4 cm 2 /Vs.8.6.4.75.2.75.5.25.25.5.75 gm [ms/μm] VDS =.5 V VDS =.5 V 54 [mv/dec] 2 3 4 5 6.75.5.25.25.5.75.6.5.4.3.2 Measured ID Measured gm Highest gm device VDS =.5 V VT =.23 V SS = 32 mv/dec.5.25.75.5..25.75.5.25.25.5.75 gm [ms/μm] 4 5 VDS =.5 V VDS =.5 V 9 [mv/dec] 2 Lowest SS device 3 VT =.29 V 6 gm,max =.63 ms/μm 7.75.5.25.25.5.75 Fig. 3. Transfer characteristics with a linear scale and a logarithmic scale for a vertical InAs nanowire MOSFET consisting of 28 nanowires in parallel with a diameter of 28 nm and L G of 9 nm. The dashed black line of is a fitting of a virtual source model using an injection velocity, v inj,of.9 7 cm s and a mobility, µ, of4 cm 2 V s. Fig. 5. Transfer characteristics for two different devices with being the one with the highest g m,max of.29 ms µm and the one with the lowest subthreshold swing of 9 mv dec. Both transistors have a diameter of 28 nm, but have different gate lengths, with and corresponding to 3 nm and 8 nm, respectively.

gm,max [ms/μm].5.25.75.5.25 This Work [9] [] [] [2] [3] 6 5 2 25 3 35 Subthreshold Swing [mv/dec] gm,max/ss 8 6 4 2 This Work [9] [] [] [2] [3] 6 5 2 25 3 35 Subthreshold Swing [mv/dec] gm,max [ms/μm].5.25.75.5.25 c) 5 5 2 25 R on [Ωμm] Fig. 6. Transconductance as a function of subthreshold swing for the fabricated devices in this work compared to other reported vertical III-V nanowire MOSFETs. Our values compare favorably to others both in terms of transconductance and subthreshold swing. Q = g m,max/ss as a function of SS for the same devices as. A clear trend with increasing values for lower subthreshold swings, indicating that even higher performance can be expected with an improved gate stack. c) g m,max, extracted at V DS =.5V, as a function of R on for multiple devices fabricated in parallel. A large increase in g m,max is observed for lower R on, demonstrating that the performance is limited by extrinsic series resistances. In the three graphs, data from identically fabricated devices positioned in an hexagonal geometry is included. gm,max [ms/μm].5.25.75.5.25 Mean Values 5 5 2 25 Ron [Ωμm] 5 25 75 5 25 Linear Fit 5 5 2 25 VT [V].5.4.3.2. Linear Fit c) 5 5 2 25 Fig. 7. Peak transconductance at V DS =.5V versus gate length for devices with the same diameter fabricated in parallel. A trace representing the mean transconductance at each gate length is included. A slight increase in g m as L G is reduced is observed down to 2 nm. On-resistance as a function of gate length. A linear extrapolation to zero L G indicates an average access resistance of about 75 Ω µm. c) Threshold voltage versus gate length showing a small negative shift with shorter channels and a variation, on the order of 5 mv, between devices. gate overdrive, although it is lowered as g m approaches g m,max, originating from series resistances on both the drain and source. The transfer characteristics for the devices with the highest g m,max and the lowest SS, corresponding to.29 ms µm and 9 mv dec, are shown in Fig. 5a and b, respectively. The DC performance metrics are extracted for 6 devices with varying gate lengths and compared to other vertical III-V nanowire MOSFETs [9] [3]. Fig. 6a and b show that the fabricated devices with the self-aligned gate-last process compare favorably to other work, especially the improved Q = g m,max /SS [4] demonstrates that our fabrication method yields a good combination of on and off performance. The highest value for Q is 8.2, which is, to the authors knowledge, higher than for any previously demonstrated vertical nanowire MOSFET. Fig. 6c show g m,max plotted versus R on and it indicates that g m is limited by access resistance. From the virtual source modelling, shown in Fig. 3a, the intrinsic g m,max is estimated to 2.2mSµm. Devices with different L G in the range between 7 and 2 nm are fabricated by varying the first HSQ layer thickness. The impact of a varying L G is shown for g m,max, R on, and the threshold voltage, V T, in Fig. 7a-c, respectively. A small increase in g m as L G is reduced can be observed, combined with a lowering of V T.FromtheRON dependency, an average access resistance for these devices of about 75 Ω µm is extracted, further indicating that the device DC performance is limited by access resistance. By comparing measurements when keeping the bottom of the nanowires grounded to top-ground measurements, it is found that the majority of the resistance is situated on the top side, probably due to high contact resistance at the W-InAs interface. V. CONCLUSION In this work, we have demonstrated the highest performance in terms of g m,max and SS for any vertical nanowire MOSFET, with g m,max reaching.29 ms µm and SS of 9 mv dec. This performance is achieved through the use of a novel self-aligned, gate-last fabrication process on a Sisubstrate. The method allows for gate length scaling as well as separate optimization of the channel region and the contact regions.

VI. ACKNOWLEDGEMENTS This work was supported in part by the Swedish Research Council, in part by the Knut and Alice Wallenberg Foundation, and in part by the Swedish Foundation for Strategic Research, and the European Union H22 Program INSIGHT (Grant Agreement No. 688784). REFERENCES [] The international technology roadmap for semiconductors (itrs): 22 update, Tech. Rep., 22. [Online]. Available: http://www.itrs.net/ [2] P. Caroff, M. E. Messing, B. M. Borg, K. A. Dick, K. Deppert, and L.-E. Wernersson, Insb heterostructure nanowires: Movpe growth under extreme lattice mismatch, Nanotechnology, vol. 2, no. 49, p. 49566, 29. [Online]. Available: http://stacks.iop.org/957-4484/2/i=49/a=49566 [3] D. Yakimets, G. Eneman, P. Schuddinck, T. H. Bao, M. Bardon, P. Raghavan, A. Veloso, N. Collaert, A. Mercha, D. Verkest, A. Voon- Yew Thean, and K. De Meyer, Vertical gaafets for the ultimate cmos scaling, Electron Devices, IEEE Transactions on, vol. 62, no. 5, pp. 433 439, May 25. [4] K. Jansson, E. Lind, and L.-E. Wernersson, Performance Evaluation of III-V Nanowire Transistors, IEEE Trans. Electron Devices, vol. 59, no. 9, pp. 2375 2382, SEP 22. [5] C. Rolland, P. Caroff, C. Coinon, X. Wallart, and R. Leturcq, Inhomogeneous si-doping of gold-seeded inas nanowires grown by molecular beam epitaxy, Applied Physics Letters, vol. 2, no. 22, pp., 23. [Online]. Available: http://scitation.aip.org/content/aip/journal/apl/2/22/.63/.489576 [6] S. G. Ghalamestani, M. Berg, K. A. Dick, and L.-E. Wernersson, High quality InAs and GaSb thin layers grown on Si (), J. Cryst. Growth, vol. 332, no., pp. 2 6, Oct 2. [7] C. Rehnstedt, T. Martensson, C. Thelander, L. Samuelson, and L.- E. Wernersson, Vertical inas nanowire wrap gate transistors on si substrates, Electron Devices, IEEE Transactions on, vol. 55, no., pp. 337 34, Nov 28. [8] A. Khakifirooz, O. Nayfeh, and D. Antoniadis, A simple semiempirical short-channel mosfet current-voltage model continuous across all regions of operation and employing only physical parameters, Electron Devices, IEEE Transactions on, vol. 56, no. 8, pp. 674 68, Aug 29. [9] X. Zhao, J. Lin, C. Heidelberger, E. Fitzgerald, and J. del Alamo, Vertical nanowire ingaas mosfets fabricated by a top-down approach, in Electron Devices Meeting (IEDM), 23 IEEE International, Dec 23, pp. 28.4. 28.4.4. [] K.-M. Persson, M. Berg, M. Borg, J. Wu, S. Johansson, J. Svensson, K. Jansson, E. Lind, and L.-E. Wernersson, Extrinsic and intrinsic performance of vertical inas nanowire mosfets on si substrates, Electron Devices, IEEE Transactions on, vol. 6, no. 9, pp. 276 2767, Sept 23. [] K. Tomioka, M. Yoshimura, and T. Fukui, A III-V nanowire channel on silicon for high-performance vertical transistors, Nature, vol. 488, no. 74, pp. 89 92, 22. [2] K.-M. Persson, E. Lind, A. Dey, C. Thelander, H. Sjoland, and L.- E. Wernersson, Low-frequency noise in vertical inas nanowire fets, Electron Device Letters, IEEE, vol. 3, no. 5, pp. 428 43, May 2. [3] C. Thelander, L. FrobergFroberg, C. Rehnstedt, L. Samuelson, and L.- E. Wernersson, Vertical enhancement-mode inas nanowire field-effect transistor with 5-nm wrap gate, Electron Device Letters, IEEE, vol. 29, no. 3, pp. 26 28, March 28. [4] G. Doornbos and M. Passlack, Benchmarking of iii-v n-mosfet maturity and feasibility for future cmos, Electron Device Letters, IEEE, vol. 3, no., pp. 2, Oct 2.