An Analysis Methodology for Dynamic Power Gating

Size: px
Start display at page:

Download "An Analysis Methodology for Dynamic Power Gating"

Transcription

1 An Analysis Methodology for Dynamic Power Gating Ken Choi and Jerry Frenkil Sequence Design Inc. 469 El Camino Real, Suite 202, Santa Clara CA 95050, USA Abstract High leakage current in deep-submicrometer designs have become a significant contributor to total power dissipation of CMOS circuits, as short-channel transistors require lower power supply levels to reduce power consumption. This forces a reduction in the threshold voltage that causes a substantial increase of weak inversion current. As evidence of this effect, the 2006 Edition of the International Technology Roadmap for Semiconductors (ITRS) states that Leakage will become a major industry crisis, threatening the survival of CMOS itself. Among the leakage-control techniques that have been proposed so far, power gating, also known as MTCMOS, has traditionally been the most effective way to lower the leakage. This article describes a novel dynamic analysis methodology for power gated circuits which can be used as an electrical sign-off method for a full chip in deep sub-micrometer technology. The proposed methodology can achieve spice-comparable accuracy and full-chip-level performance for modern VLSI chip designs. 1. Introduction Historically, power has been one of the primary drivers of the evolution of modern electronic devices: from vacuum tubes (1906, Le De Forest) to transistors (1947, William Shockley), from BJTs (bipolar transistors) to FETs (field effect transistors), and from MOSFET (metal-oxide-semiconductor field-effect transistor) to CMOS (complementary metal-oxide-silicon) MOSFET. Moreover, as the scale of integration expands, more transistors, faster and smaller than their predecessors, are being packed into smaller chips and the steady growth in clock frequency and processing capacity per chip have dramatically increased power consumption. As the power consumption becomes critical, the chip designer should consider the power sources and the powerreduction methods in a more detailed manner than before, especially for leakage power because technology scaling results in leakage power increases. With respect to total power consumption, leakage power has increased from an almost negligible level to nearly 20 percent in 130-nanometer (nm) designs, 40 percent in 90-nm designs, and over 50 percent in 65-nm designs. Now, managing leakage power effectively is critical to the success of VLSI chip design, especially for mobile applications [1]. Several techniques have been proposed to reduce leakage power such as dual-vth [4], mixed-vth [5], off-off MOS stacking [6], input-vector control [7], multi-threshold (MT) CMOS [8], selective MTCMOS (SMT) [9], Zigzag super cut-off CMOS (ZSCCMOS) 1

2 [10], optimal Zigzag CMOS (OZ) [11], body-bias control [12], transistor width sizing [13], transistor channel scaling [14], and voltage islands [15]. Among the leakage-control techniques, power gating is one of the most effective ways to lower the leakage of a VLSI circuit in the leakage dominant era. Power-gating uses a PMOS transistor or an NMOS transistor to disconnect the circuit s supply voltage from the logic when the logic is inactive. This technique can reduce leakage by more than two orders of magnitude with negligible speed degradation. However, since the power-gating scheme was introduced in 1993 [16], designers have had difficulty deploying it due to the additional design complexity. One of the difficulties has been verification because the power-gating circuit impacts several critical design parameters directly such as virtual rail voltage drop, wake-up time, rush current, area, and delay. Therefore, verification of these effects is essential for avoiding the chip failure and increasing yield after fabrication. In this paper, first, leakage current in the sub-threshold region and the power-gating technique for leakage reduction are explained. Secondly, a proposed methodology for analyzing power-gated circuits and our analysis results with this methodology are presented. Finally, future directions of this approach are discussed and summarized. 2. Background 2.1 Leakage Current in Sub-threshold Region Leakage currents in NMOS or PMOS transistors depend substantially on: i) the voltage at the four transistor terminals (gate, source, drain, and substrate) and ii) geometrical dimensions of the four terminals as shown in Figure 1. Source Gate Drain n+ n+ p-substrate Substrate Figure 1. Four Terminals in MOSFET to Determine Leakage Current Generally, the components of the leakage current can be categorized as i) junction leakage due to interaction between drain (source) and substrate, ii) gate Leakage due to gate oxide thickness and electric field, and iii) sub-threshold leakage when gate voltage is in sub-threshold region. Sub-threshold leakage is the largest portion of the total leakage power for modern technology. When the gate voltage is below the threshold voltage, weak inversion current flows between the source and drain in an MOS transistor, and is referred to as subthreshold leakage current. The threshold voltage is the gate-to-source voltage when strong inversion (Vds > Vgs Vth) occurs and is a function of the work function between 2

3 gate and substrate, oxide thickness, Fermi voltage, the charge of impurities, and the dosage of ions implanted. The sub-threshold leakage current is represented as Isub leak = K V e e V V γv + ηv V W 2 1 ( T ) 1 L eff gs th0 s ds ds nvt VT where, V th0 is the zero bias threshold voltage, γ is the linearized body effect coefficient, V s is the source to bulk voltage, η is the DIBL (drain induced barrier lowering) coefficient, n is the sub-threshold swing coefficient of the transistor, V T =KT/q is the thermal voltage, and K 1 is a process constant. Most leakage reduction techniques focus on controlling one or more terms in the equation. Basically, the methods of the leakage reduction techniques are categorized as reducing W (transistor width), increasing L eff (channel length), cooling down V T (thermal voltage), reducing or making negative V gs (gate to source voltage), increasing V th0 (zero bias threshold voltage), increasing V s (source to bulk voltage), and reducing V ds (drain to source voltage) [17]. 2.2 Power Gating Mechanism Power-gating circuitry is shown in Fig. 2. In such a circuit, the supply voltage is turned off during the standby mode by using a PMOS transistor or an NMOS transistor; with proper switch sizing leakage-power can be reduced by more than two orders of magnitude. In active mode, the sleep transistor is on and the circuit functions as usual. In standby mode, the switch transistor is turned off, which disconnects the logic gate from power or ground. The basic mechanism by which the switch transistor reduces the leakage current of the power gated logic transistors is the increased body effect: the increased source voltage of the logic transistors, relative to their bodies, raises their thresholds. The resulting current flow from the power or to the ground is substantially reduced. (1) 3

4 sleep Virtual Vdd Low Vth Cells in Virtual Gnd sleep P N out High Vth SW 2 2 sleep Self Reverse Biasing A Self Reverse Biasing A sleep Off Off Off Off B 1 High Vth SW 3 SW Size B 1 High Vth SW 3 SW Size (a) Power Gating Circuit (b) Leakage Reduction Mechanisms Figure 2. Power Gating Circuit and Mechanisms 2.3 Rush Currents and Wakeup Time During standby mode, the virtual rails in the power-gated logic cells float to the nonpower gated supply level. When the power switch is turned on, all the current due to the charged floating nodes (rush current) in the power-gated block rushes into the virtual rail, resulting in a voltage bounce on that rail. This bounce takes some time (wakeup time) to settle down all the logic cells in the block, according to the power-switch structure and turn-on sequence as shown in Fig. 3. In active mode, the power-switch operates in linear region, in standby mode the power-switch operates in cut-off region, and in wake-up mode the power-switch operates in saturation region. Wake-up Current Source Low-Vth Logic Current Source Figure 3. Wakeup Mode Analysis SW: Saturation Fig 4 shows the general design requirements for rush current and wakeup time in modern chip design. The rush current, which is related to the noise margin of the circuit, is required to be less than ten percent of total discharge current and the wakeup time is required to be less than two or three clock cycles [18]. 4

5 Curren t [ ma ] V SS V [V] Figure 4. Design Requirements for Rush Current and Wakeup Time Rush current during wakeup is a critical issue in power-gating implementations, as it can cause large voltage drops and short term VDD collapse resulting in logical malfunctions. The designer must decide how much current flow and settling time are acceptable. It is basically a problem of the trade off between the rush current and the wakeup time as shown in Fig. 5. Therefore, an accurate and fast CAD tool and methodology to verify these phenomena for full-chip design are required for dependable sign-off. 2.4 Power Switch Sequence Impact Figure 5. Rush Current and Wakeup Time Trade-Off There are several ways to minimize the rush current during wakeup, but two of the more common approaches are i) step-wise turn-on [19] and ii) two pass power-switch control [20] as shown in Fig. 6. The step-wise-turn-on approach turns on the power switches consecutively in a daisy chain style. In this case, the rush current gradually increases as the number of switches is turned on. However, the rush current can be large unless the daisy chain is very slow. On the other hand, such a long daisy chain can cause long propagation delay and the slowly rising voltage can introduce other problems such as hot electron effects. Another approach is to separate the power switches into two passes: a weak transistor pass and a strong transistor pass. At wakeup, the weak transistors are turned on first so as to slowly turn on the rush currents. When the design is discharged (charged) to a voltage close to zero (VDD), the strong transistor pass is turned on ready for normal operation. 5

6 Figure 6. Rush Current Control Methods CoolTime, Sequence s timing and voltage drop analysis tool, can guide the designer in setting power switch structure and sequence for controlling wakeup (rush current and wakeup time). The following section illustrates the methodology and flow of powergating analysis with CoolTime. 3. CT-PGA (CoolTime-PowerGatingAnalysis) 3.1 CT-PGA Overview The goals of Power Gating Analysis are to determine the rush current and wake up time for particular power gating structures. More specifically, when PG switches are being turned on, to perform the following analyses: i) rush current analysis: determine the peak currents through the switches and the voltage impact of these currents on the power grid for rest of the design, and ii) wake-up time analysis: determine the amount of time before the instances in the PG block see a steady supply voltage and the instances in the alwayson block see a steady supply voltage. To achieve SPICE-like accurate rush currents and settling time for the power-gated block, an effective simulation and analysis flow using CoolTime has been developed as shown in Fig 7; this flow already has been used successfully with 65-nm full-chip-level designs. In this flow, CoolTime utilizes its built-in event simulator to achieve SPICE-level accuracy with extraordinary capacity and performance for full chip-level designs. Inputs for this solution are SPICE sub-circuits for the logic cells and switch cells, design data (lib, netlist, lef, def, etc.), user specified design constraints, and switch resistance models. CoolTime generates the circuit for an embedded spice-like simulator and analyzes the detailed time-variant behavior of the power-gated circuit during wakeup. The analysis results report rush current at the virtual power rail (header switch case), ground current, wakeup time, switch peak current, voltages of the power, ground, and virtual rails, and whole-chip-level voltage drop. In addition, during power up, it is extremely critical that the nearby logic continues to perform at speed without interruption. First, a contour map of the power up region must be generated. That data is then plugged into the next level of hierarchy. The worst case effective voltage is identified and instances located. Then the timing analysis report is scanned to find the presence of these instances within any critical path report. The most 6

7 important part of PGA is the report of how the near by logic is affecting by comparing before and after timing reports. Figure 7. CT-PGA Overview 3.2 CT-PGA Procedure CT-PGA enables the designer to rapidly obtain detailed information on rush currents and wake up times. First, CT-PGA internally checks the circuit operations after circuit simulation as shown in Fig.8 and then calculates the currents. 7

8 Figure 8. Circuit Operation Checking After calculating the rush currents, CoolTime calculates dynamic voltage drop on the real and virtual rails, along with the wakeup time. Then finally all the results are displayed graphically such as rush current, ground current, wakeup time, peak current for each switch, and whole-chip-level voltage drop. 3.3 CT-PGA Results Currents though the power switches The time-varying behavior of the currents though the power switches are analyzed as shown in the Fig. 9. Current (Ampere) 0.0ns 1.0ns 2.0ns 3.0ns 4.0ns Figure 9. Rush Currents 8

9 3.3.2 Ground current during wakeup Ground bounce during wakeup is shown in Fig. 10. When each switch is turned on, a rippling effect on the ground rail can be seen according to the power-switch topology and its turn-on sequence. In this case, the ripples are caused by the switching units of the delay elements in the switch timing chain. Current (Ampere) 0.0ns 1.0ns 2.0ns Figure 10. Ground Currents 3.0ns 4.0ns Wakeup time CT-PGA generates a list of the top-10 instances with the longest wakeup times and provides a graphical view of the wakeup time as shown in Fig. 11. Here, we see that the block woke up in ~2.0ns. Voltage (Volt) 0.0ns 1.0ns 2.0ns Figure 11. Wakeup Time 3.0ns 4.0ns 9

10 3.3.4 Power-switch peak currents CT-PGA generates a list of the first 10 switches in a sequential chain and provides a graphical view of each switch s peak current as shown in Fig. 12. Peak Current Location Switch_Header_i1:GVDD , 5000 Switch_Header_i4:GVDD , Switch_Header_i8:GVDD , Switch_Header_i7:GVDD , Switch_Header_i10:GVDD , Switch_Header_i11:GVDD , Switch_Header_i5:GVDD , Switch_Header_i2:GVDD , Switch_Header_i14:GVDD , 7000 Switch_Header_i17:GVDD , Current (Ampere) At 1.06ns (106 steps, 10 ps / each time step) 0.0ns 1.0ns 2.0ns 3.0ns Figure 12. Power Switch Peak Currents 4.0ns Supply, virtual rail, and ground voltages The first graph in Fig. 13 shows supply voltages over time and the stair-step effect is adjustable by setting up simulation time-step in CoolTime. The second graph shows voltages of logic cells at virtual rail over time. And the last graph shows ground voltages over time and the ripples represents the switch turn-on sequence. 10

11 Figure 13. Supply, Ground and Virtual-Rail Voltages Chip-level voltage drop CoolTime displays the whole-chip-level voltage drop in an animated VCR over time as shown in Fig. 14. This enables the user to see how the voltage drop results over time. Figure 14. Chip Level Voltage Drop 4. Summary and Conclusions Optimum power-switch design and implementation is a complicated design task in each advanced technology generation. Chip designers should evaluate the effectiveness and overhead of power-switch structures and turn-on sequencing based on the following criteria: i) leakage reduction, ii) performance, iii) mode transition overhead, and iv) reliability. So far, there are few solutions and methodologies to verify the power-gating design after implementing the power switches. In this paper, we have described an effective, accurate, and run-time efficient sign-off methodology, and demonstrated with an industrial full-chip-level design. The main benefits of this approach are i) accurate rush current and wake-up time analysis, and ii) the speed and accuracy of CoolTime event simulation based full-chip-level dynamic voltage drop analysis. 11

12 References [1] International Technology Roadmap for Semiconductor (ITRS), 2006 Edition, Executive Summary [2] Sequence Design CoolTime TM User s Guide [3] Sequence Design CoolTime TM Reference Manual [4] L.Wei, Z.Chen, M.Johnson, and K.Roy, Design and optimization of low voltage high performance dual threshold CMOS circuits, DAC 98, pp , Jun [5] L. Wei, Z. Chen, K. Roy, Y. Ye, and V. De, Mixed Vth CMOS circuit design methodology for low power applications, DAC, 1999 [6] J.P.Harter and F.Najm, A gate-level leakage power reduction method for ultra-low-power CMOS circuits, CICC 1997, pp , Oct [7] A. Abdollahi, F. Fallah, and M. Pedram, Leakage current reduction in CMOS VLSI circuits by input vector control, IEEE Transaction on VLSI, Feb [8] S.Mutoh, T.Douseki, Y.Matsuya, T.Aoki, S.Shigematsu, and J.Yamada, 1-V power supply high-speed digital circuit technology with multi threshold-voltage CMOS, IEEE J. Solid-State Circuits, vol. 30, pp , Aug [9] K.Usami, N.Kawabe, and M.Koizumi, Automated selective multi-threshold design for ultra-low standby applications, ISLPED 02, pp , Aug [10] K.Min, H.Kawaguchi, and T.Sakurai, Zigzag super cut-off CMOS (ZSCCMOS) block activation with selfadaptive voltage level controller: An alternative to clock-gating scheme in leakage dominant era, IEEE International Solid-State Circuits Conference, pp , Feb [11] K-w Choi, Y. Xu, and T. Sakurai, "Optimal zigzag (OZ): an effective yet feasible power-gating scheme achieving two orders of magnitude lower standby leakage," Proceeding of 2005 Symposium on VLSI Circuits, pp , June 14-18, [12] J. Tschanz, J. Kao, S. Narendra, R. Nair, D. Antoniadis, A. Chandrakasan, and V. De, Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage, IEEE International Solid-State Circuits Conference, February 2002, Vol.1, p [13] M. Hashimoto and H. Onodera, Post-Layout transistor sizing for power reduction in cell-based design, Proc. of ACM/IEEE Design Automation Conference. (ASP-DAC), pp , [14] P. Gupta, A.B. Kahng, P. Sharma, and D. Sylvester, Selective gate-length biasing for cost-effective runtime leakage control, IEEE/ACM Design Automation Conference, pp , [15] J. Hu, Y. Shin, N. Dhanwada, and R. Marculescu, Architecting voltage islands in Core-based System-on-a-Chip Designs, International Symposium on Low Power Electronics and Design, [16] M. Horiguchi, T. Sakata, and K. Itoh, Switched-source-impedance CMOS circuit for low standby subthreshold current gigascale LSI s, Proceeding of 2005 Symposium on VLSI Circuits, pp , May 19-21, [17] J. Frenkil and S. Venkatraman, Power Gating Design Automation, Closing the Power Gap Between ASIC and Custom, Springer, 2007, Chapter 10. [18] J. Tschanz, S. Narendra, Y. Ye, B. Bloechel, and S. Borker, Dynamic sleep transistor and body bias for active leakage power control of microprocessors, IEEE J. Solid-State Circuits, vol. 38, pp , Nov [19] S. Kim, S. Kosonocky, and D. Knebel, Understanding and Minimizing Ground Bounce During Mode Transition of Power Gating Structures, International Symposium on Low Power Electronics and Design, August 2003, pp [20] P. Royannez, et. al., 90nm Low Leakage SoC Design Techniques for Wireless Applications, IEEE International Solid-State Circuits Conference, February 2005, pp Authors Kyu-won (Ken) Choi received the PhD. degree in electrical and computer engineering from Georgia Institute of Technology, Atlanta, USA in During the PhD. from 1996 to 2003 he had proposed and conducted several projects supported by NASA (National Aeronautics and Space Administration), DARPA (Defense Advanced Research Projects Agency) and NSF (US National Science Foundation) regarding power-aware computing/communication (PACC). Since 2004, he has been with the Takayasu Sakurai Lab. in the University of 12

13 Tokyo, Japan as a post-doc researcher, working on leakage-power-reduction circuit techniques. In the past, he had sixyear working experience as a full-time engineer in industries such as Samsung Electronics, Broadcom, and Korea Telecom Research Center. Dr. Choi worked with Sequence Design Inc. from as a senior engineer and a technical consultant for low-power designs, and now is on staff at IIT in Chicago. Jerry Frenkil is currently CTO and VP of Engineering for Sequence Design and has over 25 years of experience in the semiconductor and EDA industries. Mr. Frenkil was a co-founder of Sente which later merged with Frequency Technology to form Sequence Design. At Sente, Mr. Frenkil was the Vice President of Low Power Design, where he architected Watt Watcher, the predecessor of PowerTheater. Prior to co-founding Sente, Mr. Frenkil was an independent consultant focused on IC design. He also held management positions at VLSI Technology and Mostek. Mr. Frenkil holds a BSEE from the University of Texas. He has published several papers on IC and Low Power Design, has contributed chapters to three books, and holds several patents on circuit design and design automation. 13

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Power-Gating Structure with Virtual Power-Rail Monitoring Mechanism

Power-Gating Structure with Virtual Power-Rail Monitoring Mechanism 134 HYOUNG-WOOK LEE et al : POWER-GATING STRUCTURE WITH VIRTUAL POWER-RAIL MONITORING MECHANISM Power-Gating Structure with Virtual Power-Rail Monitoring Mechanism Hyoung-Wook Lee, Hyunjoong Lee, Jong-Kwan

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications ABSTRACT Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications Abhishek Sharma,Gunakesh Sharma,Shipra ishra.tech. Embedded system & VLSI Design NIT,Gwalior.P. India

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature Sensor Circuits

Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature Sensor Circuits Journal of Information Processing Systems, Vol.7, No.1, March 2011 DOI : 10.3745/JIPS.2011.7.1.093 Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com COMPARISON AMONG DIFFERENT INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN HARSHVARDHAN UPADHYAY* ABHISHEK CHOUBEY**

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Leakage Diminution of Adder through Novel Ultra Power Gating Technique Leakage Diminution of Adder through Novel Ultra Power Gating Technique Aushi Marwah; Prof. Meenakshi Mishra ShriRam College of Engineering & Management, Banmore Abstract: Technology scaling helps us to

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Study of Outpouring Power Diminution Technique in CMOS Circuits

Study of Outpouring Power Diminution Technique in CMOS Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 11, November 2014,

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Leakage Power Reduction in CMOS VLSI

Leakage Power Reduction in CMOS VLSI Leakage Power Reduction in CMOS VLSI 1 Subrat Mahalik Department of ECE, Mallareddy Engineering College (Autonomous), Hyderabad, India 2 M. Bhanu Teja Department of ECE, Mallareddy Engineering College

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Comparison of Leakage Power Reduction Techniques in 65nm Technologies

Comparison of Leakage Power Reduction Techniques in 65nm Technologies Comparison of Leakage Power Reduction Techniques in Technologies Vikas inghai aima Ayyub Paresh Rawat ABTRACT The rapid progress in semiconductor technology have led the feature sizes of transistor to

More information

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER International Journal Of Advance Research In Science And Engineering http:// LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER Raju Hebbale 1, Pallavi Hiremath 2 1,2 Department

More information

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE) Volume 1, Issue 1.

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE)   Volume 1, Issue 1. Standard Cell Design with Low Leakage Using Gate Length Biasing in Cadence Virtuoso and ALU Using Power Gating Sleep Transistor Technique in Soc Encounter Priyanka Mehra M.tech, VLSI Design SRM University,

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Leakage Power Reduction Using Power Gated Sleep Method

Leakage Power Reduction Using Power Gated Sleep Method Leakage Power Reduction Using Power Gated Sleep Method Parameshwari Bhoomigari 1, D.v.r. Raju 2 1 M. Tech (VLSI& ES), Department of ECE, Prasad Engineering College 1 2 Professor (HOD), Department of ECE,

More information

Comparative Study of Different Modes for Reducing Leakage and Dynamic Power through Layout Implementation

Comparative Study of Different Modes for Reducing Leakage and Dynamic Power through Layout Implementation International Journal of Engineering and Applied Sciences (IJEAS) ISSN: 2394-3661, Volume-2, Issue-3, March 2015 Comparative Study of Different Modes for Reducing Leakage and Dynamic Power through Layout

More information

Lecture Integrated circuits era

Lecture Integrated circuits era Lecture 1 1.1 Integrated circuits era Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell laboratories. In 1961, first IC was introduced. Levels of Integration:-

More information

ANALYSIS OF LOW POWER 32-BIT BRENT KUNG ADDER WITH GROUND BOUNCEING NOISE OPTIMIZATION

ANALYSIS OF LOW POWER 32-BIT BRENT KUNG ADDER WITH GROUND BOUNCEING NOISE OPTIMIZATION ANALYSIS OF LOW POWER 32-BIT BRENT KUNG ADDER WITH GROUND BOUNCEING NOISE OPTIMIZATION Nisha, Asst.Prof. Anup Kumar Abstract Reducing power dissipation is one of the most important issues in deeply scaled

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper IJECT Vo l. 6, Is s u e 4, Oc t - De c 2015 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

More information

A Low Power High Speed Adders using MTCMOS Technique

A Low Power High Speed Adders using MTCMOS Technique International Journal of Computational Engineering & Management, Vol. 13, July 2011 www..org 65 A Low Power High Speed Adders using MTCMOS Technique Uma Nirmal 1, Geetanjali Sharma 2, Yogesh Misra 3 1,2,3

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY Abhishek Sharma 1,Shipra Mishra 2 1 M.Tech. Embedded system & VLSI Design NITM,Gwalior M.P. India

More information

A Review Of Conventional And Emerging Power Gating Techniques For Leakage Power Reduction

A Review Of Conventional And Emerging Power Gating Techniques For Leakage Power Reduction A Review Of Conventional And Emerging Power Gating Techniques For Leakage Power Reduction Sandip B. Rahane, A.K. Kureshi, Sachin D. Pable Abstract Leakage power reduction has become one of the top design

More information

Leakage Power Reduction in CMOS VLSI Circuits

Leakage Power Reduction in CMOS VLSI Circuits Leakage Power Reduction in CMOS VLSI Circuits Pushpa Saini M.E. Student, Department of Electronics and Communication Engineering NITTTR, Chandigarh Rajesh Mehra Associate Professor, Department of Electronics

More information

Leakage Currents: Sources and Solutions for Low-Power CMOS VLSI Martin Martinez IEEE Student Member No Lamar University 04/2007

Leakage Currents: Sources and Solutions for Low-Power CMOS VLSI Martin Martinez IEEE Student Member No Lamar University 04/2007 Leakage Currents: Sources and Solutions for Low-Power CMOS VLSI Martin Martinez IEEE Student Member No. 80364730 Lamar University 04/2007 1 Table of Contents Section Page Title Page 1 Table of Contents

More information

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch R.Divya, PG scholar, Karpagam University, Coimbatore, India. J.Muralidharan M.E., (Ph.D), Assistant Professor,

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique ABSTRACT: Rammohan Kurugunta M.Tech Student, Department of ECE, Intel Engineering College, Anantapur, Andhra Pradesh,

More information

Analysis of Power Gating Structure using CNFET Footer

Analysis of Power Gating Structure using CNFET Footer , October 19-21, 211, San Francisco, USA Analysis of Power Gating Structure using CNFET Footer Woo-Hun Hong, Kyung Ki Kim Abstract This paper proposes a new hybrid MOSFET/ carbon nanotube FET (CNFET) power

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

UNIT 3: FIELD EFFECT TRANSISTORS

UNIT 3: FIELD EFFECT TRANSISTORS FIELD EFFECT TRANSISTOR: UNIT 3: FIELD EFFECT TRANSISTORS The field effect transistor is a semiconductor device, which depends for its operation on the control of current by an electric field. There are

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology Performance Analysis of Novel Domino Gate in Sub 45nm CMOS Technology AMIT KUMAR PANDEY, RAM AWADH MISHRA, RAJENDRA KUMAR NAGARIA Department of Electronics and Communication Engineering MNNIT Allahabad-211004

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Performance of Low Power SRAM Cells On SNM and Power Dissipation Performance of Low Power SRAM Cells On SNM and Power Dissipation Kanika Kaur 1, Anurag Arora 2 KIIT College of Engineering, Gurgaon, Haryana, INDIA Abstract: Over the years, power requirement reduction

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) International Journal of Electronics Engineering, (1), 010, pp. 19-3 Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) Ashutosh Nandi 1, Gaurav Saini, Amit Kumar Jaiswal

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach RESEARCH ARTICLE OPEN ACCESS High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach M.Sahithi Priyanka 1, G.Manikanta 2, K.Bhaskar 3, A.Ganesh 4, V.Swetha 5 1. Student of Lendi

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013 Power Scaling in CMOS Circuits by Dual- Threshold Voltage Technique P.Sreenivasulu, P.khadar khan, Dr. K.Srinivasa Rao, Dr. A.Vinaya babu 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA.

More information

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101 Delay Depreciation and Power efficient Carry Look Ahead Adder using CMOS T. Archana*, K. Arunkumar, A. Hema Malini Department of Electronics and Communication Engineering, Saveetha Engineering College,

More information

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology

More information

Minimization of 34T Full Subtractor Parameters Using MTCMOS Technique

Minimization of 34T Full Subtractor Parameters Using MTCMOS Technique Minimization of 34T Full Subtractor Parameters Using MTCMOS Technique Mohammad Mudassir 1, Vishwas Mishra 2 and Amit Kumar 3 1 Research Scholar, M.Tech RF and Microwave, SITE, SVSU, Meerut (UP) INDIA,

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

MTCMOS Post-Mask Performance Enhancement

MTCMOS Post-Mask Performance Enhancement JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.4, NO.4, DECEMBER, 2004 263 MTCMOS Post-Mask Performance Enhancement Kyosun Kim*, Hyo-Sig Won**, and Kwang-Ok Jeong** Abstract In this paper, we motivate

More information

MOS TRANSISTOR THEORY

MOS TRANSISTOR THEORY MOS TRANSISTOR THEORY Introduction A MOS transistor is a majority-carrier device, in which the current in a conducting channel between the source and the drain is modulated by a voltage applied to the

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

Design and realisation of Low leakage 1-bit CMOS based Full Adder Cells for Mobile Applications

Design and realisation of Low leakage 1-bit CMOS based Full Adder Cells for Mobile Applications IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 6 (Nov. Dec. 2013), PP 51-57 e-issn: 2319 4200, p-issn No. : 2319 4197 Design and realisation of Low leakage 1-bit CMOS based Full

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

Design and Application of Multimodal Power Gating Structures

Design and Application of Multimodal Power Gating Structures Design and Application of Multimodal Power Gating Structures Ehsan Pakbaznia and Massoud Pedram University of Southern California E-mail: {pakbazni,pedram}@usc.edu Abstract - Designing a power-gating structure

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; Chapter 3 Field-Effect Transistors (FETs) 3.1 Introduction Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; The concept has been known

More information

FET. Field Effect Transistors ELEKTRONIKA KONTROL. Eka Maulana, ST, MT, M.Eng. Universitas Brawijaya. p + S n n-channel. Gate. Basic structure.

FET. Field Effect Transistors ELEKTRONIKA KONTROL. Eka Maulana, ST, MT, M.Eng. Universitas Brawijaya. p + S n n-channel. Gate. Basic structure. FET Field Effect Transistors ELEKTRONIKA KONTROL Basic structure Gate G Source S n n-channel Cross section p + p + p + G Depletion region Drain D Eka Maulana, ST, MT, M.Eng. Universitas Brawijaya S Channel

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Gaddam Sushil Raj B.Tech, Vardhaman College of Engineering. ABSTRACT: Arithmetic logic unit (ALU) is an important part of microprocessor. In

More information

LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC

LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC Ms. Jeena Joy Electronics and Communication Engineering Vivekanandha College of Engineering for Women Tiruchengode, Erode, Tamilnadu, India.

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

MICROPROCESSORS LEAKAGE POWER REDUCTION USING DUAL SUPPLY VOLTAGE SCALING

MICROPROCESSORS LEAKAGE POWER REDUCTION USING DUAL SUPPLY VOLTAGE SCALING 5 th International Advanced Technologies Symposium (IATS 09), May 13-15, 2009, Karabuk, Turkey MICROPROCESSORS LEAKAGE POWER REDUCTION USING DUAL SUPPLY VOLTAGE SCALING Diary R. Sulaiman Electrical Engineering

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Mohd Shahid M.Tech Student Al-Habeeb College of Engineering and Technology. Abstract Arithmetic logic unit (ALU) is an

More information