Leakage Currents: Sources and Solutions for Low-Power CMOS VLSI Martin Martinez IEEE Student Member No Lamar University 04/2007

Size: px
Start display at page:

Download "Leakage Currents: Sources and Solutions for Low-Power CMOS VLSI Martin Martinez IEEE Student Member No Lamar University 04/2007"

Transcription

1 Leakage Currents: Sources and Solutions for Low-Power CMOS VLSI Martin Martinez IEEE Student Member No Lamar University 04/2007 1

2 Table of Contents Section Page Title Page 1 Table of Contents 2 Abstract 3 I. Introduction 3 II. Leakage Current Sources in CMOS circuits 4 III. VTCMOS Circuit Techniques 6 IV. MTCMOS Circuit Techniques 7 V. Conclusions 9 VI. Originality Statement 10 VII. Acknowledgments 10 VIII. References 10 2

3 Abstract The low-power advantages of CMOS technology over other semiconductor technologies were recognized from the onset. The power losses are separable into dynamic and static losses. At first, efforts were focused on dynamic losses because they were dominant. However, as the technology advances into deep sub-micron regions, leakage power dissipation, (mostly) a static loss, increases at a faster rate than its counterpart accounting for 40% or more of total losses in some applications. This paper aims to describe the main sources of leakage losses and two circuit-level techniques that have been presented, that is, Variable- Threshold CMOS (VTCMOS) and Multiple- Threshold CMOS (MTCMOS). I. Introduction At the 2002 International Electron Devices Meeting, the chairman of Intel, Andrew Grove, mentioned that leakage currents are a major limiting parameter in future designs of microprocessors [1]. As such, they have been a hot topic of research in the past decade. These currents are largely static losses but also contribute to dynamic losses [2]. Dynamic power dissipation of a transistor arises from the continuous charging and discharging of the capacitancelike load of digital gates in modern integrated circuits. Part of the reason these losses were the focus for many years is that Moore s Law, the scaling of transistor feature sizes, required the reduction of the voltage supply. Because dynamic power is proportional to the square of this voltage, as shown in Equation 1, reducing the voltage effectively controlled dynamic losses, which were far greater than static losses; total power loss was well controlled. 2 DD P = α CV f + VDD I leak (1) The first term on the left hand side is the dynamic loss, where! corresponds to the percentage of gates actively switching, C stands for the total capacitive load of all gates, V DD is the supply voltage, and f is the operating or clock frequency. The second term corresponds to the static power dissipation where I leak corresponds to the total static leakage current. However, as scaling progresses into the sub-micron region, Moore s Law begins to have a negative effect. The geometry of a CMOS transistor introduces parasitic effects that create leakage currents. These leakage currents become significant in the total power equation and will overtake the dynamic losses. In fact, the International Technology Roadmap for Semiconductors (ITRS) forecasts the 65-nm feature size as the turning point [3]. Another concept to note is that while dynamic power is largely dependent on the switching activity of the circuit, leakage power is dependent on the number and type of transistors in an integrated circuit and the operation state, factors that are independent of switching activity. Recalling Moore s Law and the assumption that there is a doubling of onchip transistors every two to three years, static power consumption is clearly the more dominant power-conscious design parameter of the future. As an example, consider the effects higher power consumption has on portable devices. Higher power consumption leads to temperature raises which, in turn, directly affect battery life because more current is drawn. Higher temperatures also affect circuit operation and reliability. To meet this challenge, newer, more complicated cooling and packaging techniques have to be designed. If battery life is not to be affected, then larger batteries are required which, again, involves a redesigning of packaging. 3

4 This paper is organized in the following manner: section II details the sources of leakage currents and static power dissipation in a MOS transistor; sections III and IV detail Variable-Threshold CMOS (VTCMOS) and Multiple-Threshold CMOS (MTCMOS) circuit techniques for reducing leakage power loss, respectively; section VI presents some final conclusions. II. Leakage Current Sources in CMOS Circuits There are four main leakage currents in a CMOS transistor: A. Subthreshold (weak inversion) leakage (I SUB ) B. Reverse-biased Source/Drain junction leakages (I RB ) C. Gate direct-tunneling leakage (I G ) D. Gate-induced drain leakage (I GIDL ). Figure 1: NMOS Leakage Currents A. Subthreshold leakage The subthreshold leakage current is a drain to source current of a transistor when it operates in the weak inversion region. It is due to the diffusion current of minority carriers in the channel of a MOS structure. When the gate voltage is below V th, the turnon or threshold voltage, an NMOS device is turned off. Ideally, there should be no current flowing in the channel region of the device. However, due to the supply voltage existing across the drain to source region, there is a small current present known as the subthreshold leakage current [4, 5]. Figure 2: I SUB of an NMOS Currently, the subthreshold leakage current, I SUB, is the largest leakage component [3]. This is due to the low threshold voltage value in modern CMOS technology. Equation (2) expresses one version of I SUB : Vth VDD & # = nvt $ VT I! SUB K1 We 1 e $! % " (2). This version is originally presented by Anantha Chandrakasan, William Bowhill, and Frank Fox to show subthreshold leakage current dependence on threshold voltage and supply voltage. K 1 and n are experimentally derived values; W is the device width; V th and V T are the threshold and thermal voltages, respectively. Notice that if I SUB were to grow and build up heat, the thermal voltage would increase and lead to a thermal runaway condition [6]. The magnitude of this current is due to many factors such as temperature, supply voltage, dimensions, and process parameters (which give V th a dominant role) [7]. The following equation illustrates these dependencies for the threshold voltage: V th ( 2φ F + VSB φf ) ηv DS = Vth 0 + γ 2 (3) Here, V th0 is the zero-bias threshold voltage, a manufacturing dependent value; " is the body effect coefficient which is dependent on gate-oxide capacitance, doping concentrations, silicon permittivity and other parameters; # F is the Fermi-level surface potential at threshold; V SB is the source-to- 4

5 body voltage; $V DS shows the Drain- Induced Barrier Lowering (DIBL) effect where $ is the DIBL coefficient. With this in mind, consider how changes in these parameters affect the subthreshold current [2, 7]. V th -Rolloff is associated with Short Channel Effects (SCE's) where the threshold voltage is affected by the transistor s feature size, or channel length. As feature size decreases the threshold voltage should also decrease. The DIBL effect arises in deep submicron technology because the source and drain depletion regions lead to some areas of the channel to be depleted under the gate. This decreases the threshold voltage necessary for strong inversion. The consequence of this phenomenon is that the subthreshold leakage current increases. According to Equation (3) an increase in source-to-body biasing will give a corresponding increase in threshold voltage for small values, an effect known as body bias. The body bias effect will decrease the magnitude of the subthreshold leakage current. Returning to the subthreshold current equation, a couple of methods in which it can be reduced are readily apparent. One method would be to turn off the supply voltage. This approach, however, leads to a loss of state. A second approach would be to increase the threshold voltage. The consequence of this method is a reduction of speed as indicated by Equation (4): α ( VDD Vth ) f VDD (4) where, here,! is an experimentally derived constant that is currently 1.3 [7]. B. Reverse-Bias Source/Drain Junctions Leakage Recalling the structure of an NMOS transistor, a reverse-biased p-n junction exists that is formed by either the source or drain to the substrate when it is off. Although a potential barrier exists, there is a leakage current. It has two components: electron-hole generation in the depletion region and minority carrier diffusion/drift at the edge of the same depletion region. Figure 3: I RB of an NMOS Take, for example, an inverter that has a LOW input. Taking the NMOS drain-tosubstrate (or body) voltage in this case, notice that it is equal to the supply voltage. Internally, this voltage is large enough to create a current. This effect is becoming even more dominant due to the high concentrations of impurity doping used in modern devices. These leakages depend, therefore, on the surface areas of the junctions and the concentrations used [8]. C. Gate direct-tunneling leakage The current due to gate directtunneling leakage is a current tunneling into the gate of the transistor. The mechanisms for this tunneling effect are electron conduction band tunneling (ECB), electron valence band tunneling (EVB), and hole valence band tunneling (HVB). Currently, ECB is the dominant phenomenon. Basically, there is electron tunneling because of the high electric fields that exist across the oxide layer [2]. Consider a simplification of the equations of Chandrakasan, Bowhill, and Fox presented by Nam Sung Kim and Todd 5

6 Austin in Equation (5). I ox = K 2 αtox & VDD # VDD W $ e T! 2 (5) ox % " K 2 and! are experimentally derived values. Increasing the oxide thickness, T ox, will reduce gate leakage currents but this is contrary the scaling trends needed to avoid SCE's, so this is not an option [9]. Additionally, studies have shown that this gate current is increasing at a much faster rate than the subthreshold current with each technology node to the extent that in newer technologies the former surpasses the latter in magnitude. The proposed solution is to use a new material for the oxide, so-called high-k gate insulators. These will ensure that the rate of increase will stabilize [3, 7, 9]. Figure 4: I G of an NMOS D. Gate-Induced Drain Leakage The current due to gate-induced drain leakage (GIDL) is a direct consequence of the high field effect in the drain of a MOS transistor. For example, an NMOS with its gate grounded and drain at the supply voltage potential experiences energy band bending in the drain. This allows electron-hole pairs to be generated by virtue of avalanche effects and band-to-band carrier tunneling. Holes are quickly driven to the body, creating a deep depletion situation. Similarly, electrons are collected in the drain. Together, these effects create the I GIDL current [2]. Transistor scaling has led to doping concentrations that try to control DIBL, mentioned previously. Unfortunately, this has the negative effect of increasing bandto-band tunneling. These, in conjunction with high drain-to-body and drain-to-gate voltages, have exacerbated the I GIDL current. Figure 5: I GIDL of an NMOS E. Total leakage current The total leakage current can be determined from: I leak = I SUB + I RB + I G + I GIDL (6) I G does not exist when a transistor is off in an NMOS. Until high-k gate insulators are used in mainstream processes, it must be considered and designs should be optimized for it. As already noted, I SUB is the dominant component of the leakage currents and the following techniques aim to limit said current. III. VTCMOS Circuit Techniques Earlier, the body bias effect was briefly described. VTCMOS take advantage of this effect. Before going on, a couple of clarifications are in order. A gate can be in either active (dynamic) or stand-by (static) mode. Active mode denotes a switching gate whereas stand-by mode denotes a nonswitching gate. Note that different leakages take place during a switching or nonswitching gate. Given these definitions, VTCMOS is used to avoid leakage in stand- 6

7 by mode. To overcome subthreshold leakage, the threshold voltage can be adjusted. This is accomplished by changing the substrate-bias voltage as noticed in Equation (3). V th ( 2φ F + VSB φf ) ηvds = Vth 0 + γ 2 (3) Usually, the substrate of an NMOS is grounded while the PMOS transistor substrate is pulled up to the supply voltage potential. This keeps source and drain regions reverse-biased with respect to the substrate, ensuring that V th is not influenced by the body effect. In a VTCMOS circuit, this is not the case. The transistors are designed as usual, with a low threshold voltage, to keep speed and performance. However, the substrate-bias voltage, V SB, is not connected to either the supply voltage line or the ground potential line, but instead to a substrate-bias control circuit. Figure 6: VTCMOS with Control Circuit This control circuit will vary the substrate voltages for the gate transistors depending on whether it is operating in its active mode or if it is in stand-by mode. If in the active mode, the control circuit generates the usual potentials, that is, a substrate-bias potential of V BN =0 for the NMOS block and a substrate-bias potential of V BP =V DD for the PMOS block. Since the circuit is operating at a low supply voltage and a low threshold voltage there is low power consumption and no loss of performance. This is effectively the same circuit as conventional CMOS circuit. If the logic gate is stand-by mode, though, the control circuit will produce a lower substrate potential for the NMOS block and a higher substrate potential for the PMOS block. This will, in turn, cause the threshold voltages to increase there-by taking advantage of the body effect. Vth VDD & # = nvt $ VT I! SUB K1 We 1 e (2). $! % " Referring to Equation (2), which is repeated above for convenience, the reader will note that increasing the threshold voltage leads to an exponential drop of the subthreshold leakage current, causing static power dissipation to be greatly reduced. VTCMOS techniques look very promising but there are disadvantages. One disadvantage is the manufacturing process. To be effective, it currently relies on twinwell or triple well CMOS processes to construct the different substrate biases. A second disadvantage is that it may be necessary to put extra power pins if the substrate biases cannot be constructed onchip. However, the control circuit itself requires negligible chip area in comparison to the total chip area. More information can be found in references [7, 10]. IV. MTCMOS Circuit Techniques The second leakage reduction technique to be discussed is the use of MTCMOS circuits. Like VTCMOS, it is used in low-voltage applications when the circuit is in stand-by mode. It is designed using an NMOS and a PMOS transistor that have different threshold voltages than the CMOS logic circuit. The CMOS logic circuit is designed with low thresholds as usual to ensure speed and performance. This 7

8 structure will suffer significant leakage currents during the active mode. The separate NMOS and PMOS transistors will have a high threshold voltage and will not suffer significant leakage currents. These will be used to isolate the CMOS logic circuit by being placed in series with the CMOS structure and in essence will isolate the logic circuit in stand-by mode and prevent any significant leakage power. Conceptually, then, the stand-by transistor design creates a virtual power supply and/or virtual ground. series with the logic block. In practice, only one is necessary and this fact has led to two families of MTCMOS, one using an NMOS, the other a PMOS. The PMOS design, however, is not practical considering that supply voltages will continue to scale down to lower than the typical 0.7 threshold voltage. In addition, NMOS transistors have lower on-resistances, which make it the design of choice. Figure 8: Actual MTCMOS Implementation Figure 7: General MTCMOS Structure In the active mode the circuit behaves as normal because the high threshold transistors, referred to as stand-by or sleep transistors, will be turned on and allow the CMOS logic circuit to perform as designed. In the stand-by mode, the stand-by transistors can be turned off and open circuit the conduction path to the leaky circuit. If an analysis is performed on the total leakage power dissipation, one would find that it would be significantly reduced. This leads to the conclusion that having a low threshold, leaky CMOS logic block for performancecritical functions is an acceptable trade off. Notice that in fact it is not necessary to have both an NMOS and a PMOS both in As far as fabrication is concerned, having transistors with different thresholds can be achieved by changing the channel lengths, the doping profile, or using different oxide thicknesses. This is the fabrication complexity that MTCMOS circuits suffer. A second disadvantage is that the stand-by transistors are connected in series. This adds to the overall chip area and is prone to extra propagation delays caused by parasitic capacitances. Despite these drawbacks, MTCMOS is easier to implement in comparison to VTCMOS circuits because it does not require the complex control circuit. In addition, MTCMOS does not use multiple-well designs as the VTCMOS techniques. Another design challenge is the sizing of the stand-by transistor. While in the active state, there will be a voltage drop across the stand-by transistor which decreases the supply voltage available to the CMOS block. Consequences of this could be reduction of noise margins and increases in 8

9 high-to-low delays. In addition, the threshold of the pull-down network increases because of the body effect. It is possible to meet this problem by using large stand-by transistors but this only adds to the area overhead that already exists as well as the additional dynamic power that it consumes. This in turn leads to the observation that there is a minimum amount of idle time that must pass if there is to be any savings in total power consumption at all. One way to reduce the area and power overhead is to use one large stand-by transistor for several CMOS logic gates. This stand-by transistor will be larger than the one used for each gate, but the total area and power consumed will be less. As Farzan Fallah and Massoud Pedram note, however, finding the optimum size of the large standby transistor requires finding the worst case delay in the circuit and the input vector that causes it. Obviously, simulating this test for large circuits is not feasible. Figure 9: One Large Stand-by Transistor for Several Gates To conclude this discussion of MTCMOS techniques, it is noteworthy to mention that future technologies could extend the number of threshold voltages from two to three or even more. Having multiple numbers of threshold voltages available will introduce new optimization design options and trade offs. Take for example a microprocessor s cache. The second level cache, L2, is larger and slower so there is little gained by using low threshold gates to design it. Using higher threshold transistors will most certainly increase access time to the L2 cache but this can be compensated for by using faster, and hence leakier, transistors for the level one cache, L1, or even increasing its size. The total static power consumption, in the end, will still be lower than the previous design without sacrificing speed. The following references detail the topic of MTCMOS techniques: [2, 7, 9, 10, 11]. V. Conclusions This paper developed the sources of leakage currents and some of the techniques that have been proposed at the circuit level to minimize the static power problem. Clearly, VTCMOS and MTCMOS design techniques can be effective in decreasing leakage current power consumption, yet, just as clearly, one can see that they are not a permanent, universal solution for low power CMOS design. Each technology has its advantages and disadvantages. Research in the field continues to date, with hybrid techniques and different level techniques as well. For example, at the system level, architectural solutions such as paralleling and pipelining have been proposed. Notice also that this paper focused on controlling stand-by leakage currents. A complete solution would also address the active mode leakage problem. A final consideration is that the current targeted by these techniques is the subthreshold leakage current. Although it is the dominant one, the gate direct-tunneling leakage current is also problematic. The introduction of high-k materials can control this current, but as far as the author is aware, these materials are not mainstream available at the time. 9

10 VI. Originality Statement The topics of this paper have come to the fore of research within the past two decades or so. Some of these techniques have been around for a while now and presently, more sophisticated and hybrid solutions are being researched. The author is not an expert in the field and has relied heavily on instruction received in class and resources obtained. The presentation and diction used in this paper, however, are original to the extent that it conforms to literature and is presented in the manner in which the author has understood the material researched. VII. Acknowledgments The author would like to acknowledge Dr. Selahattin Sayil, Assistant Professor of Electrical Engineering at Lamar University, for his guidance in instructing the author and in narrowing the field of CMOS digital IC design to pick a topic to research for this paper. VIII. References [1] R.Wilson and D. Lammers, Grove Calls Leakage Chip Designers Top Problem, EE Times, 13 Dec. 2002; OEG S0040. [2] F. Fallah and M. Pedram, Standby and Active Leakage Current Control and Minimization in CMOS VLSI Circuits, Oct. 11, 2006; -leakage-review-journal.pdf. [3] Semiconductor Industry Association, International Technology Roadmap for Semiconductors, 2005 edition, [4] K. Roy and S. C. Prasad, Low-Power CMOS VLSI Circuit Design, John Wiley & Sons, Inc. N.Y., pp , [5] D.A. Hodges, H.G. Jackson, and R.A. Saleh, Analysis and Design of Digital Integrated Circuits: In Deep Submicron Technology, McGraw-Hill Companies, Inc., N.Y., pp , [6] A. Chandrakasan, W. Bowhill, and F. Fox, Design of High-Performance Microprocessor Circuits, IEEE Press, [7] W.M. Elgharbawy and M.A. Bayoumi, Leakage Sources and Possible Solutions in Nanometer CMOS Technologies, IEEE Circuits and Systems Magazine, pp. 8-15, Fourth Quarter [8] B. Van Zeghbroeck, Principles of Semiconductor Devices, m, Ch. 4, [9] N.S. Kim, T. Austin, D. Blaauw, T. Mudge, K. Flautner, J.S. Hu, M.J. Irwin, M. Kandemir, and V. Narayanan, Leakage Current: Moore s Law Meets Static Power, IEEE Computer Society, pp , Dec [10] S.M. Kang and Y. Leblebici, CMOS Digital Integrated Circuits: Analysis and Design, McGraw-Hill Companies, Inc., N.Y., pp , [11] K. Roy and S. C. Prasad, Low-Power CMOS VLSI Circuit Design, John Wiley & Sons, Inc. N.Y., pp

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER International Journal Of Advance Research In Science And Engineering http:// LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER Raju Hebbale 1, Pallavi Hiremath 2 1,2 Department

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com COMPARISON AMONG DIFFERENT INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN HARSHVARDHAN UPADHYAY* ABHISHEK CHOUBEY**

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Leakage Power Reduction in CMOS VLSI

Leakage Power Reduction in CMOS VLSI Leakage Power Reduction in CMOS VLSI 1 Subrat Mahalik Department of ECE, Mallareddy Engineering College (Autonomous), Hyderabad, India 2 M. Bhanu Teja Department of ECE, Mallareddy Engineering College

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Study of Outpouring Power Diminution Technique in CMOS Circuits

Study of Outpouring Power Diminution Technique in CMOS Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 11, November 2014,

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications ABSTRACT Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications Abhishek Sharma,Gunakesh Sharma,Shipra ishra.tech. Embedded system & VLSI Design NIT,Gwalior.P. India

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

MOS TRANSISTOR THEORY

MOS TRANSISTOR THEORY MOS TRANSISTOR THEORY Introduction A MOS transistor is a majority-carrier device, in which the current in a conducting channel between the source and the drain is modulated by a voltage applied to the

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA Efficient Power Management Technique for Deep-Submicron Circuits P.Sreenivasulu 1, Ch.Aruna 2 Dr. K.Srinivasa Rao 3, Dr. A.Vinaya babu 4 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA. 2

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY Abhishek Sharma 1,Shipra Mishra 2 1 M.Tech. Embedded system & VLSI Design NITM,Gwalior M.P. India

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology K. N. Toosi University of Technology Chapter 7. Field-Effect Transistors By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology http://wp.kntu.ac.ir/faradji/digitalelectronics.htm

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper IJECT Vo l. 6, Is s u e 4, Oc t - De c 2015 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach RESEARCH ARTICLE OPEN ACCESS High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach M.Sahithi Priyanka 1, G.Manikanta 2, K.Bhaskar 3, A.Ganesh 4, V.Swetha 5 1. Student of Lendi

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

ABSTRACT. for regular and low threshold voltage devices. A new leakage monitor circuit for detecting

ABSTRACT. for regular and low threshold voltage devices. A new leakage monitor circuit for detecting ABSTRACT DEVASTHALI, VINAYAK SUDHAKAR. Application of Body Biasing and Supply Voltage Scaling Techniques for Leakage Reduction and Performance Improvement of CMOS Circuits. (Under the direction of Professor

More information

Sub-Threshold Region Behavior of Long Channel MOSFET

Sub-Threshold Region Behavior of Long Channel MOSFET Sub-threshold Region - So far, we have discussed the MOSFET behavior in linear region and saturation region - Sub-threshold region is refer to region where Vt is less than Vt - Sub-threshold region reflects

More information

19. Design for Low Power

19. Design for Low Power 19. Design for Low Power Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 November 8, 2017 ECE Department, University of Texas at

More information

ANALYSIS OF 16-BIT CARRY LOOK AHEAD ADDER A SUBTHRESHOLD LEAKAGE POWER PERSPECTIVE

ANALYSIS OF 16-BIT CARRY LOOK AHEAD ADDER A SUBTHRESHOLD LEAKAGE POWER PERSPECTIVE ANALYSIS OF 16-BIT CARRY LOOK AHEAD ADDER A SUBTHRESHOLD LEAKAGE POWER PERSPECTIVE Amuthavalli G. and Gunasundari R. Pondicherry Engineering College, Puducherry, India E-Mail: amuthavalli.phd1@gmail.com

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique Chandni jain 1, Shipra mishra 2 1 M.tech. Embedded system & VLSI Design NITM,Gwalior M.P. India 474001 2 Asst Prof. EC Dept.,

More information

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu The Chip is HOT Power consumption increases

More information

Solid State Devices- Part- II. Module- IV

Solid State Devices- Part- II. Module- IV Solid State Devices- Part- II Module- IV MOS Capacitor Two terminal MOS device MOS = Metal- Oxide- Semiconductor MOS capacitor - the heart of the MOSFET The MOS capacitor is used to induce charge at the

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime IJIRST International Journal for Innovative Research in Science & Technology Volume 1 Issue 12 May 2015 ISSN (online): 2349-6010 Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre

More information

Leakage Control for Deep-Submicron Circuits

Leakage Control for Deep-Submicron Circuits Leakage Control for Deep-Submicron Circuits Kaushik Roy, Hamid Mahmoodi-Meimand, and Saibal Mukhopadhyay School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, USA {kaushik,

More information

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER Sandeep kumar 1, Charanjeet Singh 2 1,2 ECE Department, DCRUST Murthal, Haryana Abstract Performance of sense amplifier has considerable impact on the speed

More information

4: Transistors Non idealities

4: Transistors Non idealities 4: Transistors Non idealities Inversion Major cause of non-idealities/complexities: Who controls channel (and how)? Large Body(Substrate) Source Voltage V G V SB - - - - - - - - n+ n+ - - - - - - - - -

More information

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch R.Divya, PG scholar, Karpagam University, Coimbatore, India. J.Muralidharan M.E., (Ph.D), Assistant Professor,

More information

MOS Transistor Theory

MOS Transistor Theory MOS Transistor Theory So far, we have viewed a MOS transistor as an ideal switch (digital operation) Reality: less than ideal EE 261 Krish Chakrabarty 1 MOS Transistor Theory Study conducting channel between

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS Mrs. K. Srilakshmi 1, Mrs. Y. Syamala 2 and A. Suvir Vikram 3 1 Department of Electronics and Communication

More information

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Two Dimensional Analytical Threshold Voltages Modeling for Short-Channel MOSFET

Two Dimensional Analytical Threshold Voltages Modeling for Short-Channel MOSFET Two Dimensional Analytical Threshold Voltages Modeling for Short-Channel MOSFET Sanjeev kumar Singh, Vishal Moyal Electronics & Telecommunication, SSTC-SSGI, Bhilai, Chhatisgarh, India Abstract- The aim

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

UNIT 3: FIELD EFFECT TRANSISTORS

UNIT 3: FIELD EFFECT TRANSISTORS FIELD EFFECT TRANSISTOR: UNIT 3: FIELD EFFECT TRANSISTORS The field effect transistor is a semiconductor device, which depends for its operation on the control of current by an electric field. There are

More information

3: MOS Transistors. Non idealities

3: MOS Transistors. Non idealities 3: MOS Transistors Non idealities Inversion Major cause of non-idealities/complexities: Who controls channel (and how)? Large Body(Substrate) Source Voltage V G V SB - - - - - - - - n+ n+ - - - - - - -

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN Performance Evaluation and Comparison of Ultra-thin Bulk (UTB), Partially Depleted and Fully Depleted SOI MOSFET using Silvaco TCAD Tool Seema Verma1, Pooja Srivastava2, Juhi Dave3, Mukta Jain4, Priya

More information

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation Australian Journal of Basic and Applied Sciences, 2(3): 406-411, 2008 ISSN 1991-8178 Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation 1 2 3 R. Muanghlua, N. Vittayakorn and A.

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 2 1.1 MOTIVATION FOR LOW POWER CIRCUIT DESIGN Low power circuit design has emerged as a principal theme in today s electronics industry. In the past, major concerns among researchers

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No # 01 Introduction and Course Outline (Refer Slide

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

CHAPTER 2 LITERATURE REVIEW

CHAPTER 2 LITERATURE REVIEW CHAPTER 2 LITERATURE REVIEW 2.1 Introduction of MOSFET The structure of the MOS field-effect transistor (MOSFET) has two regions of doping opposite that of the substrate, one at each edge of the MOS structure

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Comparison of Leakage Power Reduction Techniques in 65nm Technologies

Comparison of Leakage Power Reduction Techniques in 65nm Technologies Comparison of Leakage Power Reduction Techniques in Technologies Vikas inghai aima Ayyub Paresh Rawat ABTRACT The rapid progress in semiconductor technology have led the feature sizes of transistor to

More information

MOSFET short channel effects

MOSFET short channel effects MOSFET short channel effects overview Five different short channel effects can be distinguished: velocity saturation drain induced barrier lowering (DIBL) impact ionization surface scattering hot electrons

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Effect of Device Scaling for Low Power Environment. Vijay Kumar Sharma

Effect of Device Scaling for Low Power Environment. Vijay Kumar Sharma Effect of Device Scaling for Low Power Environment Vijay Kumar Sharma Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, U.P. (India) vijay.buland@gmail.com

More information

Power consumption is now the major technical

Power consumption is now the major technical COVER FEATURE Leakage Current: Moore s Law Meets Static Power Microprocessor design has traditionally focused on dynamic power consumption as a limiting factor in system integration. As feature sizes shrink

More information

DESIGN AND ANALYSIS OF NAND GATE USING BODY BIASING TECHNIQUE

DESIGN AND ANALYSIS OF NAND GATE USING BODY BIASING TECHNIQUE DESIGN AND ANALYSIS OF NAND GATE USING BODY BIASING TECHNIQUE Mr.Om Prakash 1, Dr.B.S.Rai 2, Dr.Arun Kumar 3 1 Assistant Professor, Deptt.Electronics & Comm. IIMT IETMeerut, U.P. (India). 2 HOD & Professor

More information

Lecture 4. MOS transistor theory

Lecture 4. MOS transistor theory Lecture 4 MOS transistor theory 1.7 Introduction: A MOS transistor is a majority-carrier device, in which the current in a conducting channel between the source and the drain is modulated by a voltage

More information

Department of Electrical Engineering IIT Madras

Department of Electrical Engineering IIT Madras Department of Electrical Engineering IIT Madras Sample Questions on Semiconductor Devices EE3 applicants who are interested to pursue their research in microelectronics devices area (fabrication and/or

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI A.Karthik 1, K.Manasa 2 Assistant Professor, Department of Electronics and Communication Engineering, Narsimha Reddy Engineering

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences.

UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences. UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Discussion #9 EE 05 Spring 2008 Prof. u MOSFETs The standard MOSFET structure is shown

More information

Journal of Electron Devices, Vol. 20, 2014, pp

Journal of Electron Devices, Vol. 20, 2014, pp Journal of Electron Devices, Vol. 20, 2014, pp. 1786-1791 JED [ISSN: 1682-3427 ] ANALYSIS OF GIDL AND IMPACT IONIZATION WRITING METHODS IN 100nm SOI Z-DRAM Bhuwan Chandra Joshi, S. Intekhab Amin and R.

More information