APPLYING A SEAMLESS DESIGN FLOW TO. Aachen University of Technology. Germany. Abstract - Short product cycles and the necessity toachieve a short

Size: px
Start display at page:

Download "APPLYING A SEAMLESS DESIGN FLOW TO. Aachen University of Technology. Germany. Abstract - Short product cycles and the necessity toachieve a short"

Transcription

1 APPLYING A SEAMLESS DESIGN FLOW TO FAST DEVELOPMENT OF A CARRIER SYNCHRONIZER FOR MPSK M. Vaupel and H. Meyr Chair for Integrated Systems of Signal Processing Aachen University of Technology Aachen Germany vaupel@ert.rwth-aachen.de Abstract - Short product cycles and the necessity toachieve a short time to market call for sophisticated design methodologies. In this paper a seamless design ow is described enabling the design of engineering a chip for carrier synchronization of 8PSK, QPSK and BPSK modulated signals in three months. The circuit was fabricated in a 1mCMOS process using standard cells and is currently in commercial use in a modem for digital TV transmission. INTRODUCTION The demand for short product cycles in the eld of VLSI-design is continuously increasing. Time to market or rapid prototyping are hot topics. These demands call not only for skilled designers but require also design methodologies which support the development of digital integrated circuits. The design ow ranges from system level specication and simulation down to post layout simulation or even post production testing. An integral part of this ow are the verication steps. Phase shift keying (PSK) is a commonly used modulation technique for the transmission of digital data. Due to local oscillator inaccuracies of the receiver{transmitter pair, the complex valued signal suers from a frequency oset and a phase drift. In order to overcome these eects a correction device is needed which should be able to cope with dierent modulation schemes (8PSK, QPSK and BPSK) to be applicable in various environments. Additionally the functional parameters should be programmable to ensure the required exibility. THE CIRCUIT Figure 1 shows the receiver branch of the whole modem for digital TV transmission. After analog down conversion the signal is sampled. In phase and quadrature components are input to a matched lter, that is part of

2 the timing synchronization loop. The output signal is fed into the carrier synchronizer. The corrected signal values are input to a channel decoder (eg a Viterbi decoder). As an alternative, the matched lter could also be used inside the synchronization loop (see Figure 1). Timing Synchronization M.F. Viterbi I/Q ADC M.F. * * * * Phase and Frequency Synchronization Figure 1: Receiver chain of the modem * * * * The internal structure of the synchronizer is basically a digital PLL [1,2] and is depicted in Figure 2. It consists of phase rotator, phase error detector, loop lter, and NCO mainly. Additionally a sweep generator is implemented that allows an automated search of the frequency oset to be performed within a wide range during acquisition. This search is controlled by a lock detection unit that detects whether the received data is located within a certain range around a reference point in the complex plane or not. This in{ range information is processed within the count{and{compare unit which puts out a loop{in{lock bit. Furthermore a microprocessor interface ensures the necessary exibility of congurations and allows observation of internal states and signals. Additionally, a frequency measurement unit is implemented to support the coarse adjustment of the oscillator. Phase Rotator Phase Error and Lock Detection Frequency Measurement NCO Loop Filter phase error Sweep Generator lock bit Count & Compare up- Interface Figure 2: Structure of the Synchronizer The maximum specied sample rate was 35 MHz. The correctable frequency error should be up to +/{ 12.5% (8PSK) of the sample rate. The normalized loop bandwidth B L can be programmed to be in the range of 10 ;4 to 10 ;2 of the sampling rate the damping ratio can be chosen between 0.7 and 1.5.

3 DESIGN FLOW The integration of telecommunication systems on silicon is a process that requires modeling on dierent abstraction levels[3]. For each level suitable simulation paradigms have to be applied in order to evaluate the performance with the help of criteria that are adequate on this particular level. (See Figure 3). Of course designing an integrated circuit is not a pure top{down process. Each renement step gives a feedback to upper levels. For instance, the cost in silicon area has an impact on the decision on quantization parameters. The delay that is introduced into the synchronizer loop due to pipelining the building blocks has an inuence on the system performance. This stresses the importance of a smooth transition between system and architectural level. In addition each shift from an upper abstraction level to a lower one requires a verication between the two dierent representations of the same block. Implementation Selection and Development of Algorithms Function Verification COSSAP System performance spec met? Development of Architectures for each block Logic Synthesis Place & Route Fabrication Algorithm Architecture (VHDL) Architecture (Gate Level) Physical Layout Co-Simulation Algorithmic model and structural description match? VHDL-Sim. Timing requirements met? STL/Verilog-Sim. Timing requirements met? Production Test Die function is according to test vectors? Hardware in the Simulation loop Chip function correct? ASIC Figure 3: Overview of Design Flow System level On the uppermost abstraction level all system performance related issues (eg BER or remaining phase inaccuracies) have to be investigated. For this reason the system environment (eg channel, source decoder) has to be modeled and simulated. The simulation eciency is a crucial point especially

4 for complex systems since long simulations are necessary in order to achieve accurate results. All information which is not relevant on this level has to be hidden in order to speed up simulations. Therefore a data ow driven simulation engine is the appropriate choice[4]. In our case the system level simulation tool was COSSAP[5]. In order to decide on quantization of internal signals and pave the way down to implementation, a bittrue specication of all blocks of the circuit had to be created. Structural levels For each building block, a corresponding VLSI{architecture was developed and described in VHDL. That comprises of eg directly implementing the arithmetic units (eg the within loop lter) with some improvements that increase implementation eciency or of building architectures that are structurally dierent but show identical behavior at the borders of the entity compared with the functional description of the block. 1) 3) 2) phase difference in_lock area Figure 4: Mapping of address values The phase rotator is realized as a CORDIC{processor[6,7,8]. The loop lter is a rst order lter, that is pipelined in order to reach the specied data rate. Phase error detector and lock detection unit are implemented once for each modulation scheme. The phase detection algorithm used for 8PSK modulated signals was described in [9]. Its implementation was done via a synthesized table, because of the increased eciency compared to using arithmetic units or ROMs. In order to reduce the required silicon real estate, the implicit symmetry of the phase error detection was advantageously taken into account: in a rst step all quadrants are mapped onto the rst one 1) (see Figure 4), then the second octand is mapped onto the rst 2), and nally the upper remaining triangle is mapped onto the free address space in the lower half of the rst quadrant 3). Each mirroring operation in the

5 address space is compensated for by aninversion of the output phase value. Following this approach, the address space of the table could be decreased by a factor of eight at the expense of implementing a preprocessing unit that performes the mapping and a post processing unit for conditionally inverting the phase values. The values of the synthesized table were obtained using the functional simulation model of the Viterbi{and{Viterbi [9] algorithm and the postprocessing facilities of the simulation environment. Another possible structure of the receiver is to use the matched lter with subsequent decimation within the frequency synchronizer loop (see gure 1). The input data of the phase error estimator is then read from outside the chip rather than from the CORDIC{processor. Due to the dierent data rates (CORDIC{processor and NCO are processing the oversampled data, the other parts of the loop are working with the decimated values), decoupling of the CORDIC{processor and the rest of the loop is necessary which was implemented using enable signals. Verication VHDL Verication. An crucial step in the design ow is the verication of the VHDL descriptions against their counterparts, the functional system level specications. For this reason a simulator coupling between COSSAP and the SYNOPSYS VSS VHDL simulator was developed at our site [10,11]. For each VHDL entity an interface block is generated automatically that can replace the respective simulation model in a COSSAP netlist. Via this block the simulation data of the system level simulation run is fed into the VHDL simulation that is running simultaneously. The output data is compared on the functional level enabling the use of graphical postprocessing facilities. This approach avoids developing VHDL testbenches for each building block, producing input stimuli within the test bench or writing input stimuli of the functional model to les and reading these into the VHDL simulation, and comparing the produced output les which would be a very tedious, error{ prone and time consuming process. Therefore, debugging is greatly simplied using the cosimulation approach. The granularity of the modeled blocks has to be ne enough to ensure controllability and observability with a limited number of input datasets and to ease the debugging process, and coarse enough to obtain a high verication eciency. After design and verication of all building blocks the overall system has to be veried using the same approach described above. Post Layout Simulation. The verication step that follows placement and routing is aided by generating input stimuli and expected output data for post layout simulation using the system level simulation setup again. It is very easy to use the available source models to produce large sets of complex data patterns in order to drive the internal states to all possible values. The generation of Production Test vectors is done using the same approach.

6 phase error frequency stored in loop NCO output Figure 5: Measured internal data Functional Chip Verication. The manufactured chip was functionally tested using a hardware{in{the{simulation{loop environment[12]. Via a software interface module the input data which is produced by the simulator under simulated environmental conditions (eg a specic type of channel) is fed into the real hardware. The on{chip processed results are then read back and can be compared with the simulation model and visualized using the graphical output facilities of the simulation tool. In the case of the synchronizer chip the micro{processor interface that is needed for conguring the chip allows additionally an observation of internal states and signals. For instance, estimated phase error, output of NCO, and the frequency stored in the loop lter can be read out without increasing the pin count. Figure 5 summarizes some measured results that are read out during acquisition. The uppermost curve shows the detected phase error, the middle the frequency that is stored in the loop lter, and the lower displays the NCO output. During the rst 2000 clock cycles, a positive sweep value is added into the loop. After reaching the programmed limit, the output of the sweep generator is inverted in order search into negative direction. When a loop{in{lock is detected (a certain amount of received signal points are near the reference points in the

7 complex plane) the output of the sweep generator is disabled. The circuit is now in tracking mode and follows slowly varying frequencies. Problems The remaining bottleneck of the design ow was in our case the loose coupling between synthesis and placement and routing. The large number of simple logic gates and the non{local connections inside the synthesized table caused a large amount of wiring in this region (see Figure 6). Due to the fact that wireload models used in SYNOPSYS are not accurate enough with respect to the actual wiring capacitances, the pre{ and post{layout timing estimates diered by up to 33% resulting in serious timing violations that had to be removed by numerous try{and{error iterations. Figure 6: Final Layout

8 RESULTS The chip was manufactured in a 1m CMOS process and consists of 5964 standard cells. The chip area is 27 mm 2. Itispackaged into a 68 pin ceramic pin grid array package. The maximum sample rate under worst case conditions is 35 MHz. No self test is implemented, the fault coverage using the generated 32k test vectors is 89%. Figure 6 shows the nal layoutofthe chip. SUMMARY An integrated design ow that ranges from system level specication and simulation down to post layout simulation or even post production testing avoids implementation failures that result from verication gaps between different levels of abstraction and ensures short design times. It guarantees a close interaction between system level and hardware design providing a well dened interface. This enables concurrent engineering on dierent levels and of dierent structural blocks as well. With the help of the design environment described above it was possible to produce the nal physical layout within three months. The chip is fully functional and was a rst silicon success. A natural extension of the methodology is to enable reusing the developed pairs of functional and architectural parameterizable modules in order to improve the design process even further. Currently a tool set[13,14] is under development at our site which provides an automated VHDL generation from the functional specications. References [1] H. Meyr and G. Ascheid, Synchronization in Digital Communications, vol. 1. John Wiley & Sons, [2] E. A. Lee and D. G. Messerschmitt, Digital Communications. Kluwer Academic Publishers, [3] K. ten Hagen, Abstrakte Modellierung digitaler Schaltungen (VHDL vom funktionalen Modell bis zur Gatterebene). Heidelberg New York: Springer, August In Vorbereitung. [4] G. Jennings, \A case against event driven simulation of digital system design," in The 24th Annual Simulation Symposium (A. H. Rutan, ed.), (Los Alamitos, California), pp. 170{176, IEEE Computer Society Press, April [5] Synopsys, Inc., 700 E. Middleeld Rd., Mountain View, CA 94043, USA, COSSAP User's Manual. [6] J. E. Volder, \The CORDIC trigonometric computing technique," IRE Trans. Electronic Computing, vol. EC{8, pp. 330{34, September 1959.

9 [7] J. S. Walther, \A unied algorithm for elementary functions," in AFIPS Spring Joint Computer Conference, vol. 38, pp. 379{85, [8] H. Dawid and H. Meyr, \The Dierential CORDIC Algorithm: Constant Scale Factor Redundant Implementation without correcting Iterations." accepted for IEEE Transactions on Computers, May, [9] A. J. Viterbi and A. M. Viterbi, \Nonlinear estimation of psk-modulated carrier phase with application to burst digtal transmission," IEEE Transactions on Information Theory, vol. IT-29, pp. 543{551, July [10] P. Zepter, \Simulator Coupling: COSSAP - Synopsys VSS," Internal Memo 715/16, ISS, RWTH Aachen, September [11] P. Zepter, \Kopplung eines VHDL Simulators an einen Simulator fur Signalverarbeitungsalgorithmen," in GME Fachberichte 11 Mikroelektronik (D. Seitzer, ed.), pp. 127{132, VDE Verlag, March in german. [12] O. J. Joeressen and H. Meyr, \Hardware \in the loop" simulation with COSSAP: Closing the verication gap," in International Conference on DSP Applications and Technology, (Dallas, TX), pp. 779{784, DSP Associates, October [13] H. Meyr, H. Dawid, O. Joeressen, and P. Zepter, \Design of High Speed Communication Systems," in Proceedings of the IEEE International Symposium on Circuits and Systems, (London), p , IEEE, May [14] P. Zepter, T. Grotker, O. Joeressen, and H. Meyr, \A design system for high throughput digital signal processing," in GME Fachberichte 13 Mikroelektronik (E. Barke, ed.), VDE Verlag, March 1995.

An Optimized Direct Digital Frequency. Synthesizer (DDFS)

An Optimized Direct Digital Frequency. Synthesizer (DDFS) Contemporary Engineering Sciences, Vol. 7, 2014, no. 9, 427-433 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2014.4326 An Optimized Direct Digital Frequency Synthesizer (DDFS) B. Prakash

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Design of NCO by Using CORDIC Algorithm in ASIC-FPGA Technology

Design of NCO by Using CORDIC Algorithm in ASIC-FPGA Technology Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 9 (2013), pp. 1109-1114 Research India Publications http://www.ripublication.com/aeee.htm Design of NCO by Using CORDIC

More information

A Framework for Fast Hardware-Software Co-simulation

A Framework for Fast Hardware-Software Co-simulation A Framework for Fast Hardware-Software Co-simulation Andreas Hoffmann, Tim Kogel, Heinrich Meyr Integrated Signal Processing Systems (ISS), RWTH Aachen Templergraben 55, 52056 Aachen, Germany hoffmann[kogel,meyr]@iss.rwth-aachen.de

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

ON SYMBOL TIMING RECOVERY IN ALL-DIGITAL RECEIVERS

ON SYMBOL TIMING RECOVERY IN ALL-DIGITAL RECEIVERS ON SYMBOL TIMING RECOVERY IN ALL-DIGITAL RECEIVERS 1 Ali A. Ghrayeb New Mexico State University, Box 30001, Dept 3-O, Las Cruces, NM, 88003 (e-mail: aghrayeb@nmsu.edu) ABSTRACT Sandia National Laboratories

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS

DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS Prajakta J. Katkar 1, Yogesh S. Angal 2 1 PG student with Department of Electronics and telecommunication,

More information

A COMPACT, AGILE, LOW-PHASE-NOISE FREQUENCY SOURCE WITH AM, FM AND PULSE MODULATION CAPABILITIES

A COMPACT, AGILE, LOW-PHASE-NOISE FREQUENCY SOURCE WITH AM, FM AND PULSE MODULATION CAPABILITIES A COMPACT, AGILE, LOW-PHASE-NOISE FREQUENCY SOURCE WITH AM, FM AND PULSE MODULATION CAPABILITIES Alexander Chenakin Phase Matrix, Inc. 109 Bonaventura Drive San Jose, CA 95134, USA achenakin@phasematrix.com

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012 Vol. 8(34), pp. 1658-1669, 11 September, 2013 DOI 10.5897/SRE12.171 ISSN 1992-2248 2013 Academic Journals http://www.academicjournals.org/sre Scientific Research and Essays Full Length Research Paper Field-programmable

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Design and Analysis of a Portable High-Speed Clock Generator

Design and Analysis of a Portable High-Speed Clock Generator IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 48, NO. 4, APRIL 2001 367 Design and Analysis of a Portable High-Speed Clock Generator Terng-Yin Hsu, Chung-Cheng

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

CORDIC Algorithm Implementation in FPGA for Computation of Sine & Cosine Signals

CORDIC Algorithm Implementation in FPGA for Computation of Sine & Cosine Signals International Journal of Scientific & Engineering Research, Volume 2, Issue 12, December-2011 1 CORDIC Algorithm Implementation in FPGA for Computation of Sine & Cosine Signals Hunny Pahuja, Lavish Kansal,

More information

Development of an Experimental Rig for Doubly-Fed Induction Generator based Wind Turbine

Development of an Experimental Rig for Doubly-Fed Induction Generator based Wind Turbine Development of an Experimental Rig for Doubly-Fed Induction Generator based Wind Turbine T. Neumann, C. Feltes, I. Erlich University Duisburg-Essen Institute of Electrical Power Systems Bismarckstr. 81,

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques

High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques T.Kranthi Kiran, Dr.PS.Sarma Abstract DPLLs are used widely in communications systems like radio, telecommunications,

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

Implementing a 5-bit Folding and Interpolating Analog to Digital Converter

Implementing a 5-bit Folding and Interpolating Analog to Digital Converter Implementing a 5-bit Folding and Interpolating Analog to Digital Converter Zachary A Pfeffer (pfefferz@colorado.edu) Department of Electrical and Computer Engineering University of Colorado, Boulder CO

More information

The Application of System Generator in Digital Quadrature Direct Up-Conversion

The Application of System Generator in Digital Quadrature Direct Up-Conversion Communications in Information Science and Management Engineering Apr. 2013, Vol. 3 Iss. 4, PP. 192-19 The Application of System Generator in Digital Quadrature Direct Up-Conversion Zhi Chai 1, Jun Shen

More information

An Adaptive Multimode Modulation Modem for Point to Multipoint Broadband Radio

An Adaptive Multimode Modulation Modem for Point to Multipoint Broadband Radio An Adaptive Multimode Modulation Modem for Point to Multipoint Broadband Radio Hardy Halbauer, Marco Tomsu Alcatel Research and Innovation, Holderaeckerstrasse 35, D 7499 Stuttgart,Germany Phone.: +49

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Realization of Programmable BPSK Demodulator-Bit Synchronizer using Multirate Processing

Realization of Programmable BPSK Demodulator-Bit Synchronizer using Multirate Processing International Journal of Electrical and Computer Engineering (IJECE) Vol. 4, No. 3, June 2014, pp. 433~440 ISSN: 2088-8708 433 Realization of Programmable BPSK Demodulator-Bit Synchronizer using Multirate

More information

DEVELOPMENT OF A DIGITAL TERRESTRIAL FRONT END

DEVELOPMENT OF A DIGITAL TERRESTRIAL FRONT END DEVELOPMENT OF A DIGITAL TERRESTRIAL FRONT END ABSTRACT J D Mitchell (BBC) and P Sadot (LSI Logic, France) BBC Research and Development and LSI Logic are jointly developing a front end for digital terrestrial

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and 1 Chapter 1 INTRODUCTION 1.1. Introduction In the industrial applications, many three-phase loads require a supply of Variable Voltage Variable Frequency (VVVF) using fast and high-efficient electronic

More information

Phase Jitter in MPSK Carrier Tracking Loops: Analytical, Simulation and Laboratory Results

Phase Jitter in MPSK Carrier Tracking Loops: Analytical, Simulation and Laboratory Results Southern Illinois University Carbondale OpenSIUC Articles Department of Electrical and Computer Engineering 11-1997 Phase Jitter in MPSK Carrier Tracking Loops: Analytical, Simulation and Laboratory Results

More information

CHAPTER 4 GALS ARCHITECTURE

CHAPTER 4 GALS ARCHITECTURE 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption

More information

TED TED. τfac τpt. A intensity. B intensity A facilitation voltage Vfac. A direction voltage Vright. A output current Iout. Vfac. Vright. Vleft.

TED TED. τfac τpt. A intensity. B intensity A facilitation voltage Vfac. A direction voltage Vright. A output current Iout. Vfac. Vright. Vleft. Real-Time Analog VLSI Sensors for 2-D Direction of Motion Rainer A. Deutschmann ;2, Charles M. Higgins 2 and Christof Koch 2 Technische Universitat, Munchen 2 California Institute of Technology Pasadena,

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

ELT Radio Architectures and Signal Processing. Motivation, Some Background & Scope

ELT Radio Architectures and Signal Processing. Motivation, Some Background & Scope Introduction ELT-44007/Intro/1 ELT-44007 Radio Architectures and Signal Processing Motivation, Some Background & Scope Markku Renfors Department of Electronics and Communications Engineering Tampere University

More information

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global an effective design and verification methodology for digital PLL This Paper depicts an effective simulation methodology to overcome the spice simulation time overhead of digital dominant, low frequency

More information

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni

More information

SpectraTronix C700. Modular Test & Development Platform. Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications

SpectraTronix C700. Modular Test & Development Platform. Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications SpectraTronix C700 Modular Test & Development Platform Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications Design, Test, Verify & Prototype All with the same tool

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

Ten-Tec Orion Synthesizer - Design Summary. Abstract

Ten-Tec Orion Synthesizer - Design Summary. Abstract Ten-Tec Orion Synthesizer - Design Summary Lee Jones 7/21/04 Abstract Design details of the low phase noise, synthesized, 1 st local oscillator of the Ten-Tec model 565 Orion transceiver are presented.

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA

Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA By Raajit Lall, Abhishek Rao, Sandeep Hari, and Vinay Kumar Spectral measurements for some of the Multiple

More information

Overview and Challenges

Overview and Challenges RF/RF-SoC Overview and Challenges Fang Chen May 14, 2004 1 Content What is RF Research Topics in RF RF IC Design/Verification RF IC System Design Circuit Implementation What is RF-SoC Design Methodology

More information

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ROBERT BOGDAN STASZEWSKI Texas Instruments PORAS T. BALSARA University of Texas at Dallas WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC., PUBLICATION

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA S.Karthikeyan 1 Dr.P.Rameshbabu 2,Dr.B.Justus Robi 3 1 S.Karthikeyan, Research scholar JNTUK., Department of ECE, KVCET,Chennai

More information

Optimized BPSK and QAM Techniques for OFDM Systems

Optimized BPSK and QAM Techniques for OFDM Systems I J C T A, 9(6), 2016, pp. 2759-2766 International Science Press ISSN: 0974-5572 Optimized BPSK and QAM Techniques for OFDM Systems Manikandan J.* and M. Manikandan** ABSTRACT A modulation is a process

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

Research on DQPSK Carrier Synchronization based on FPGA

Research on DQPSK Carrier Synchronization based on FPGA Journal of Information Hiding and Multimedia Signal Processing c 27 ISSN 273-422 Ubiquitous International Volume 8, Number, January 27 Research on DQPSK Carrier Synchronization based on FPGA Shi-Jun Kang,

More information

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM Item Type text; Proceedings Authors Rosenthal, Glenn K. Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication.

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. PG student, M.E. (VLSI and Embedded system) G.H.Raisoni College of Engineering and Management, A nagar Abstract: The

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop J. Handique, Member, IAENG and T. Bezboruah, Member, IAENG 1 Abstract We analyzed the phase noise of a 1.1 GHz phaselocked loop system for

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

6.115 Final Project Proposal: An RFID Access Control System

6.115 Final Project Proposal: An RFID Access Control System 6.115 Final Project Proposal: An RFID Access Control System Christopher Merrill April 24, 2012 Abstract The goal of this nal project is to implement a device to read standard 125 khz RFID cards using the

More information

TUNABLE MISMATCH SHAPING FOR QUADRATURE BANDPASS DELTA-SIGMA DATA CONVERTERS. Waqas Akram and Earl E. Swartzlander, Jr.

TUNABLE MISMATCH SHAPING FOR QUADRATURE BANDPASS DELTA-SIGMA DATA CONVERTERS. Waqas Akram and Earl E. Swartzlander, Jr. TUNABLE MISMATCH SHAPING FOR QUADRATURE BANDPASS DELTA-SIGMA DATA CONVERTERS Waqas Akram and Earl E. Swartzlander, Jr. Department of Electrical and Computer Engineering University of Texas at Austin Austin,

More information

Modulation (7): Constellation Diagrams

Modulation (7): Constellation Diagrams Modulation (7): Constellation Diagrams Luiz DaSilva Professor of Telecommunications dasilval@tcd.ie +353-1-8963660 Adapted from material by Dr Nicola Marchetti Geometric representation of modulation signal

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important?

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important? 1 Advanced Digital IC Design A/D Conversion and Filtering for Ultra Low Power Radios Dejan Radjen Yasser Sherazi Contents A/D Conversion A/D Converters Introduction ΔΣ modulator for Ultra Low Power Radios

More information

Body articulation Obstacle sensor00

Body articulation Obstacle sensor00 Leonardo and Discipulus Simplex: An Autonomous, Evolvable Six-Legged Walking Robot Gilles Ritter, Jean-Michel Puiatti, and Eduardo Sanchez Logic Systems Laboratory, Swiss Federal Institute of Technology,

More information

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator Design and FPGA Implementation of an Adaptive Demodulator Sandeep Mukthavaram August 23, 1999 Thesis Defense for the Degree of Master of Science in Electrical Engineering Department of Electrical Engineering

More information

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads 006 IEEE COMPEL Workshop, Rensselaer Polytechnic Institute, Troy, NY, USA, July 6-9, 006 Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads Nabeel

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics C5 - Synchronous demodulation» AM and FM demodulation» Coherent demodulation» Tone decoders AY 2015-16 19/03/2016-1

More information

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Design of Multiplier Less 32 Tap FIR Filter using VHDL International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of Multiplier Less 32 Tap FIR Filter using VHDL Abul Fazal Reyas Sarwar 1, Saifur Rahman 2 1 (ECE, Integral University, India)

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

PCM BIT SYNCHRONIZATION TO AN Eb/No THRESHOLD OF -20 db

PCM BIT SYNCHRONIZATION TO AN Eb/No THRESHOLD OF -20 db PCM BIT SYNCHRONIZATION TO AN Eb/No THRESHOLD OF -20 db Item Type text; Proceedings Authors Schroeder, Gene F. Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

IN RECENT years, the phase-locked loop (PLL) has been a

IN RECENT years, the phase-locked loop (PLL) has been a 430 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 6, JUNE 2010 A Two-Cycle Lock-In Time ADPLL Design Based on a Frequency Estimation Algorithm Chia-Tsun Wu, Wen-Chung Shen,

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

MMA Memo 190: A System Design for the MMA. This report is concerned with the MMA receiving system and is based upon discussions of

MMA Memo 190: A System Design for the MMA. This report is concerned with the MMA receiving system and is based upon discussions of MMA Memo 190: A System Design for the MMA A. R. Thompson November 6, 1997 This report is concerned with the MMA receiving system and is based upon discussions of the MMA systems group. The part of the

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

A 6.0 GHZ ICCO (INDUCTOR-LESS CURRENT CONTROLLED OSCILLATOR) WITH LOW PHASE NOISE

A 6.0 GHZ ICCO (INDUCTOR-LESS CURRENT CONTROLLED OSCILLATOR) WITH LOW PHASE NOISE International Journal of Electrical Engineering & Technology (IJEET) Volume 7, Issue 5, September October, 2016, pp.01 07, Article ID: IJEET_07_05_001 Available online at http://www.iaeme.com/ijeet/issues.asp?jtype=ijeet&vtype=7&itype=5

More information

QAM Receiver Reference Design V 1.0

QAM Receiver Reference Design V 1.0 QAM Receiver Reference Design V 10 Copyright 2011 2012 Xilinx Xilinx Revision date ver author note 9-28-2012 01 Alex Paek, Jim Wu Page 2 Overview The goals of this QAM receiver reference design are: Easily

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology Ching-Che Chung 1a), Duo Sheng 2, and Sung-En Shen 1 1 Department of Computer Science & Information

More information

Cosimulating Synchronous DSP Applications with Analog RF Circuits

Cosimulating Synchronous DSP Applications with Analog RF Circuits Presented at the Thirty-Second Annual Asilomar Conference on Signals, Systems, and Computers - November 1998 Cosimulating Synchronous DSP Applications with Analog RF Circuits José Luis Pino and Khalil

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

Software-Defined Radio using Xilinx (SoRaX)

Software-Defined Radio using Xilinx (SoRaX) SoRaX-Page 1 Software-Defined Radio using Xilinx (SoRaX) Functional Requirements List and Performance Specifications By: Anton Rodriguez & Mike Mensinger Project Advisors: Dr. In Soo Ahn & Dr. Yufeng Lu

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK DESIGN OF PD AND HIGH PERFORMANCE VCO FOR PLL WITH 45 nm CMOS TECHNOLOGY VAISHALI

More information

Digital Phase Tightening for Millimeter-wave Imaging

Digital Phase Tightening for Millimeter-wave Imaging Digital Phase Tightening for Millimeter-wave Imaging The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published Publisher

More information

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

Video Enhancement Algorithms on System on Chip

Video Enhancement Algorithms on System on Chip International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Video Enhancement Algorithms on System on Chip Dr.Ch. Ravikumar, Dr. S.K. Srivatsa Abstract- This paper presents

More information

Wavedancer A new ultra low power ISM band transceiver RFIC

Wavedancer A new ultra low power ISM band transceiver RFIC Wavedancer 400 - A new ultra low power ISM band transceiver RFIC R.W.S. Harrison, Dr. M. Hickson Roke Manor Research Ltd, Old Salisbury Lane, Romsey, Hampshire, SO51 0ZN. e-mail: roscoe.harrison@roke.co.uk

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a 118 CHAPTER 6 Mixed Signal Integrated Circuits Testing - A Study 6.0 Introduction In the previous chapters, efficient and new methods and algorithms have been presented in analog fault diagnosis. Also

More information

A Case Study - RF ASIC validation of a satellite transceiver

A Case Study - RF ASIC validation of a satellite transceiver A Case Study - RF ASIC validation of a satellite transceiver Maeve Colbert IC Design Engineer S3 Semiconductors WEBSITE: www.s3semi.com CONTACT: info@s3semi.com Contents Abstract...1 Planning for Validation...2

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Gary C. Moyer, Wentai Liu, Ralph Cavin. Abstract. This paper shows the design and testing of a photosensor chip for intraocular prostheses.

Gary C. Moyer, Wentai Liu, Ralph Cavin. Abstract. This paper shows the design and testing of a photosensor chip for intraocular prostheses. Design and Testing of a Photosensor for Intraocular Prostheses Gary C. Moyer, Wentai Liu, Ralph Cavin Abstract This paper shows the design and testing of a photosensor chip for intraocular prostheses.

More information

Pre Layout And Post Layout Analysis Of Parallel Counter Architecture Based On State Look-Ahead Logic

Pre Layout And Post Layout Analysis Of Parallel Counter Architecture Based On State Look-Ahead Logic Pre Layout And Post Layout Analysis Of Parallel Counter Architecture Based On State Look-Ahead Logic Ulala N Ch Mouli Yadav, J.Samson Immanuel Abstract The main objective of this project presents designing

More information

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition P. K. Rout, B. P. Panda, D. P. Acharya and G. Panda 1 Department of Electronics and Communication Engineering, School of Electrical

More information

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE 2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER 2008 A 622-Mb/s Mixed-Mode BPSK Demodulator Using a Half-Rate Bang-Bang Phase Detector Duho Kim, Student Member, IEEE, Kwang-chun Choi,

More information

Implementation and Complexity Analysis of List Sphere Detector for MIMO-OFDM systems

Implementation and Complexity Analysis of List Sphere Detector for MIMO-OFDM systems Implementation and Complexity Analysis of List Sphere Detector for MIMO-OFDM systems Markus Myllylä University of Oulu, Centre for Wireless Communications markus.myllyla@ee.oulu.fi Outline Introduction

More information

MODELING AND SIMULATION FOR RF SYSTEM DESIGN

MODELING AND SIMULATION FOR RF SYSTEM DESIGN MODELING AND SIMULATION FOR RF SYSTEM DESIGN Modeling and Simulation for RF System Design by RONNY FREVERT Fraunhofer Institute for Integrated Circuits, Dresden, Germany JOACHIM HAASE Fraunhofer Institute

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

A 1.9GHz Single-Chip CMOS PHS Cellphone

A 1.9GHz Single-Chip CMOS PHS Cellphone A 1.9GHz Single-Chip CMOS PHS Cellphone IEEE JSSC, Vol. 41, No.12, December 2006 William Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, Keith Onodera, Steve Jen, Susan Luschas, Justin

More information

Keywords: FPGA, Software Define Radio, QAM, Synchronization, Wireless Communication, Carrier Recovery, System Generator, BPSK.

Keywords: FPGA, Software Define Radio, QAM, Synchronization, Wireless Communication, Carrier Recovery, System Generator, BPSK. ISSN 2322-0929 Vol.02,Issue.01, January-2014, Pages:0080-0087 ww.semargroup.org www.ijvdcs.org Design and FPGA Implementation of a BPSK Modem on Modern DSP Technology for Wireless Communication B. RAJASEKHARA

More information

HIGH-PERFORMANCE direct digital frequency synthesizers

HIGH-PERFORMANCE direct digital frequency synthesizers IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 3, MARCH 1995 193 A 200 MHz Quadrature Digital Synthesizer/Mixer in 0.8 m CMOS Loke Kun Tan and Henry Samueli, Member, IEEE Abstract A 200 MHz quadrature

More information