Impact of EUV Mask Pattern Profile Shape on CD Measured by CD-SEM

Size: px
Start display at page:

Download "Impact of EUV Mask Pattern Profile Shape on CD Measured by CD-SEM"

Transcription

1 Impact of EUV Mask Pattern Profile Shape on CD Measured by CD-SEM Uwe Dersch 1*, Arnd Korn 1, Cornelia Engelmann 1, Carl Georg Frase 2**, Wolfgang Häßler-Grohne 2, Harald Bosse 2, Florian Letzkus 3, Jörg Butschke 3 1 Advanced Mask Technology Center GmbH & Co. KG, Raehnitzer Allee 9, D Dresden, Germany; 2 Physikalisch Technische Bundesanstalt, Bundesallee 100, D Braunschweig, Germany; 3 IMS-Chips, Allmandring 30a, D Stuttgart, Germany ABSTRACT For extreme ultraviolet lithography (EUVL) the absorber binary mask is until now the most promising mask type. Since at EUV only reflective masks are possible, EUVL will introduce new materials for mask manufacturing. In addition it is likely that the pattern of an EUV mask will consist of a structured double layer system. Therefore, mask CD-SEM metrology for EUVL has to deal with the contrast of rather new materials and has to face a more complex mask pattern topography situation. Using a Monte Carlo model, we simulate the SEM-signals emerging from a given EUV mask pattern topography while scanned by the electron beam of a SEM. The simulation is tuned to closely match the experimental situation of a commercial CD-SEM. Generated SEM images are analyzed by means of a commercial CDalgorithm and a peak detection CD-algorithm. Knowing the exact pattern shape that are fed into the simulation, we determine the effect of specific pattern profile changes on SEM-signal and algorithm specific CD. Keywords: EUV, CD-SEM, metrology, pattern profile, Monte Carlo simulation. 1. INTRODUCTION Extreme ultra violet lithography (EUVL) will be operated at a wavelength of 13.5nm. For this technology, which focuses on the 45nm node and beyond, the most promising mask type is the absorber binary mask (ABM). It typically consists of an absorber layer, a buffer layer, a capping layer, and a MoSi multilayer stack that are deposited on top of a low temperature expansion substrate material (see figure 1). Blank intermediate CD-metrology final CD-metrology absorber layer buffer layer capping layer multilayer Absorber etch Absorber etch substrate Figure 1. Cross-section of an EUV blank before and after individual patterning steps. * uwe.dersch@amtc-dresden.com, phone , fax ** carl.g.frase@ptb.de, phone , fax

2 The MoSi multilayer stack consists of about MoSi bi-layers. It acts as a reflector for EUV radiation and is protected from its environment by a thin capping layer. The function of the absorber layer is to absorb the incident EUV radiation. The buffer layer serves as protection for the multilayer stack during ion beam repair of the absorber layer and is still relevant to obtain the required zero-defect yield. Typical layer materials and layer thickness are summarized in table 1. Layer Material Thickness absorber TaN, TaBN, TaGeN 80-40nm buffer SiO2, Cr 60-10nm capping Si, Ru 11nm, 2-4nm multilayer MoSi nm substrate LTEM 6,35mm Table 1. Typical layer materials and layer thickness used for manufacturing of ABMs for EUVL. During the mask manufacturing process, absorber and buffer layer are patterned in individual, layer specific etch processes resulting in the two pattern situations depicted in figure 1. Since the EUV mask manufacturing requires single process control and pattern dimension control, CD-metrology needs to be applied to an absorber-buffer system (intermediate patterning CD control) and an absorber-buffer-capping system (final patterning CD control). Apart from the demand for high precision and resolution in CD metrology stated in the ITRS roadmap [1] for EUVL, CD metrology needs in addition to deal with new materials like TaN, SiO 2 that up to now haven t been used in production of state-of-the art photo-masks. Consequently, long-term practical SEM experience for these materials is lacking and SEM tuning with respect for charging and contrast is in its starting phase. Even though statements have been raised that EUV mask manufacturing without buffer layer might be possible [2], the necessity of a buffer layer is still in debate. Thus, for EUVL it is important that the pattern of an ABM might finally consist of a structured double layer system. This is much different from the standard case of a CoG photo-masks, where only a single patterned layer (Cr) exists. Performing CD metrology on structured double layers for EUV ABMs is more challenging compared to CD-metrology on traditional materials because: Pattern profile shapes of absorber and buffer layer can differ to a large extend. A variety of different SEM contrasts need to be handled, due to the multitude of different materials and layer thickness in use. Apart from these challenges it remains to relate the CD-value returned by a CD-SEM to a corresponding lateral extent of the actual 3D profile. The latter is quite difficult to determine for a structured double layer due to the enhanced amount of degrees of freedom and has triggered interest and activity for this investigation. 2. SCOPE OF THE EXPERIMENT In this article we investigate the effect of material properties and pattern profile shape on the SEM-signal and CD. Thereby, we concentrate exclusively on EUV mask materials and make use of two different algorithms to evaluate CD. Basis of the investigation is a Monte Carlo (MC) simulation program described in section 4. This program models the secondary electron signal (SE-signal) emerging from a well defined 3 dimensional pattern profile when scanned by an electron beam of defined energy E beam and shape G (G: beam diameter). Prior to SEM modeling we have produced an EUV mask providing structures of different profile shape and providing test samples for intermediate and final CD-metrology. Relevant structures have been measured using a commercial topdown CD-SEM, then cut and measured again using a commercial cross-section SEM. A fraction of the obtained experimental data entered the MC program to match simulated and experimental data.

3 Once having tuned the MC, a simulation experiment was set up where we fixed the beam energy to E beam = 2keV and concentrated on an isolated ideal line feature having always a fixed lateral extent at the bottom of 200nm (see figure 2). Thick stack Thick stack Thin stack absorber buffer capping α α 200nm 200nm 200nm Intermediate CD topographical parameters Figure 2. Basic profile shapes for simulation. α Final CD absorber sidewall angle Final CD top corner rounding bottom corner rounding For intermediate CD, the basic cross section of the absorber is a trapezoid and for final CD the basic cross section consisted of a trapezoid shaped absorber layer on top of a rectangular shaped buffer layer. Starting from these basic shapes we stepwise modified the absorber profile for absorber sidewall angle A and corner rounding diameter C and generated the corresponding SEM-images using a 512x512 pixel matrix. In addition, we also scanned the beam diameter G. Variable Intermediate CD Final CD Final CD 80nm TaN absorber 60nm buffer 80nm TaN absorber 60nm buffer thick layer stack 80nm Si 80nm Si 70nm TaN absorber 10nm buffer thin layer stack 80nm Si absorber angle A top corner rounding C 0nm - 80nm 0nm - 80nm 0nm - 80nm bottom corner rounding C 0nm - 80nm 0nm 0nm secondary electron yield of buffer layer SEYB SE = 0.7 (low) SE = 2.9 (high) SE = 0.7 (low) SE = 2.9 (high) electron beam diameter G 0nm - 20nm 0nm - 20nm 0nm - 20nm primary electron energy Eacc 2keV 2keV 2keV Table 2. Parameters of the simulation model and their variation range. All simulations were performed for the cases intermediate and final CD-metrology. For final CD-metrology a thin and a thick EUV mask stack (see figure 2) were considered. Finally, to investigate the impact of possible buffer materials and the charging behavior of the isolating buffer layer, we have performed per layer case at final CD-metrology, a simulation using a buffer material with a high and a low secondary electron emission yield (SEYB). These cases correspond to a non-charged buffer (high emission) and a positively charged buffer (low emission). The sidewall angle of the buffer layer was always fixed to 90. An overview of the topographical parameters and the material parameters including their variation range is summarized in table 2. Production of EUV mask with varying profiles SEM images recorded with a commercial CD-SEM Tuning of model to match exp. data Modeling SEM images for specific topographies Signal and CD analysis Figure 3. Flow for simulation and data analysis.

4 Using the experiment flow depicted in figure 3, we have in total generated about 1500 SEM images. These were evaluated for CD using a peak detection algorithm and a CD-algorithm of a commercial CD-SEM. In the following sections we describe the individual process steps of the flow in detail and finish with the presentation of the results and our conclusions. 3. THE PROFILE MASK In order to tune the SEM-simulation model we have manufactured an EUV test mask providing structures with different degrees of processing and different profile shapes. Therefore, we have chosen a blank with a 80nm TaN absorber, a 60nm SiO 2 buffer and a 80nm dummy Si capping layer. No multilayer was introduced since the emission of secondary electrons making up the SEM-signal is limited to an escape depth of a few nm. Consequently, any effect of the multilayer is almost completely screened by an 11nm Si layer (see section 4). The test blank was sectioned into 10 areas. Each area contained the same line space test pattern but was subject to a dedicated etch recipe using the UNAXIS GEN-III chamber at IMS-Chips in Stuttgart. To some areas only the recipe of the absorber patterning process was applied. This resulted in structures representing the situation at the intermediate CD-metrology step. For the majority of the areas different recipes for absorber and for buffer etch were applied with the aim to achieve pattern profiles deviating significantly from the ideal perfectly rectangular case. The latter was realized by evaluation and selecting etch process conditions resulting from DOEs we have performed in the past to establish an absorber and a buffer dry etch process [3] for EUV masks. 80nm TaN 60nm SiO 2 80nm Si intermediate CD final CD Figure 4. Cross section samples resulting from the profile mask. After mask processing we have recorded top down SEM images of the relevant structures using a commercial CD-SEM that was operated at 2keV beam energy. In a next step we have cut the mask and analyzed the cross sections corresponding to the top down measurements using a cross section SEM (X-SEM). A selection of pattern profiles corresponding to an isolated line of design size 180nm is shown in figure THE MONTE CARLO SIMULATION Heart of our investigation is the Monte Carlo simulation modeling the electron signal detected by a SEM when scanning the surface of a given topography with an electron beam of given energy. The simulations are performed using the Monte Carlo program MOCASIM developed at the university of Muenster (Germany) [4]. Although the origin of a SEM-signal is a complex phenomenon, we still want to present the basic principle: Scanning electron microscopes (SEMs) use a fine focused electron beam that is scanned over the specimen surface. This beam of primary electrons has an energy E acc, which is well defined by the acceleration voltage U acc. The beam intensity profile is circular symmetric and has a gaussian shape. Its size is described by the full width at half maximum (FWHM) G. In the simulation, the primary electron beam is completely described by G, E acc and the angle of incidence (90 ). Primary electrons impinging on the scanned surface interact with the material. Elastic as well as inelastic interactions take place. Thereby, the probability for an individual reaction channel is defined by the size of the interaction cross section. The latter is in general a complex function of beam energy and material properties. Interaction result is a spectrum of electrons ranging from 0eV up to an energy of E acc. By convention, the secondary electron (SE) part of the spectrum covers the electrons with energy < 50eV. They make up the predominant fraction of the emitted electrons. The

5 Monte Carlo program simulates individual electron interactions taking into account the material properties and the physics governing the electron interactions with respect to energy. Returned is the secondary electron yield (SEY). A simulated interaction of an electron with our thick stack is shown in figure 5. Simulating a sufficiently large amount of reactions results in a representative sample. Figure 5. Simulated interaction of a primary electron beam with an isolated line profile of type: thick stack. The secondary electrons are usually recorded in the SEM by a scintillation or semi-conductor detector while scanning the probe surface. Thus, the instrument registers an intensity profile that indicates the local SE yield as a function of the scan position. The SE yield is defined by: SE yield = Amount of SEs emitted / Amount of impinging primary electrons It is affected both by the local surface topography and by material properties. The topographical dependence of the SE yield can be understood when considering that the mean free path length for electrons of energy smaller 50eV is only of a few nm in size. Thus, SEs can only escape the material when generated close to the surface. Consequently, a primary electron beam impinging on a material surface perpendicularly will generate less SEs compared to the situation where the primary electron beam is in grazing incidence to the material surface. The latter is the reason why at profile edges in general a high intensity of SEs is observed. This effect is used in metrology to measure dimensions. More information on SE signals can be found in [5]. Figure 6. Example showing the cross section of an isolated line (SEM-image), the SE-signal obtained by a top down measurement using a CD-SEM (dashed curve) and the simulated SE-signal corresponding to material stack and profile (solid curve).

6 Using SEM images obtained from the test mask, we have tuned the Monte Carlo to get a quite good match between the SE-signal we obtain from the commercial CD-SEM and the SE-signal resulting from the simulation. Further, the simulation program was equipped with a special software interface allowing a fast and easy implementation of even difficult profile shapes. Thereby, we realized the implementation of exact profile shapes resulting from X-SEM images. For illustration, an example showing how well simulated and experimental data match is presented in figure 6. The example shows pronounced peaks in the SE yield resulting from the topographic effect of the edges close to the top of the line feature. Further, an undershot at the bottom of the line feature is apparent. The SE yield is lowest at the foot, because the escape of SEs is suppressed by the large amount of surrounding material. In a separate study we investigated to what extent the MoSi multilayer underneath the Si capping layer contributes to the SE signal. Therefore a simulation was performed, modeling both the SE yield of a bulk Si and of a 11nm Si capping on top of a MoSi multilayer stack. The result shown in figure 7 reveals a difference of 0.05 in SE-yield, which we regard as negligible within the scope of CD-SEM measurements. Therefore, a system with a 80nm Si dummy layer instead of an 11nm Si-layer and a 280nm MoSi multilayer underneath are expected to behave identical with respect to CD-SEM measurements. Again, reason for that is the very short escape depth for SEs. Thus, we conclude that layers thicker than the penetration depth act as SE-shield. As output format the simulation program returns the local SE yield for the simulated feature in form of a gray-level matrix. To be consistent with the requirements of the commercial CD analysis tool, we have chosen a 512 x 512 matrix and gray-levels ranging from This allows an easy conversion into tif or jpg format that can be read by our image analysis programs. Further, we have adjusted the field of view (FOV) to 0.512mm. Thus, the isolated line we have as focus for our investigation covers a range of about 200 pixels in the image. Further, we have a quite high resolution per pixel (512nm/512pixel = 1nm/pixel) allowing us to investigate the behavior of the SE signal in detail when changing the pattern profile shape. Due to the setting each pixel corresponds to 1nm absolute scale. Figure 7. Simulation of the SE-yield for a bulk Si material and a material consisting of 11nm Si with a large MoSi multilayer underneath. 5. CD-ANALYSIS SEM images resulting from the simulation program were analyzed using a commercial offline analysis tool provided for the CD-SEM and using a peak detection algorithm that we have developed on the basis of MatLab software. 5.1 The commercial CD algorithm The offline analysis tool allows CD-evaluation by means of an image processing software. For CD evaluation, the operator defines a selection box, which is placed over the feature of interest. The selected image section is divided into 50 slices and the average SE signal resulting from 20 pixel rows is taken as input for the commercial edge operator. Using the average SE signal of a slice, the software identifies edge signals. Once having found an edge, a polynomial is fitted to the SE-signal and the edge position is derived from the fit with respect to a specified threshold. Per slice the

7 differences in edge positions are calculated resulting in a set of 50 CD-values. Returned as final CD of the measurement is the average of this set of CD values. measure box and subdivisions detected signal peaks threshold edge positions fitted SE signal average SE signal SE signal Figure 8. Example of an offline CD-analysis using the commercial software. SEM image Figure 9. Section of a simulated SEM image shown with the corresponding average SE-signal and the peaks detected by the peak detection algorithm. An example of the operation window for the CD offline software is shown in figure 8. With respect to the discussion of the results it is important to mention, that for CD measurements the commercial algorithm will always fit the outermost flanks of the SE-signal corresponding to a line structure. 5.2 The peak detection algorithm The peak detection algorithm was implemented into a MatLab based image analysis program for engineering purpose. Using this software it is possible to select from a SEM image a region of interest for further analysis. The edge detection algorithm returns the global gray-level maximum in each pixel row for each image half space. Thereby, to suppress the effect of noise in edge detection and to avoid flyers, peak detection is realized by looking at gray level weighted means on a 3 x 3 pixel matrix over the whole image. Having identified the signal peak positions for the left and the right edge in all pixel rows, the CD per pixel row is calculated. The returned CD value represents the average CD over all pixel rows. Note, that usually the CD returned by such procedure is influenced by the amount of pixel rows making up the sample due to LER. However, since we deal with perfectly straight lines resulting from a simulation, image inherent LER originates only from the noise in SE yield. Its effect on the CD value is negligible. Figure 9 shows an example how the average SE-signal is derived from a SEM image and indicates the sensitivity of peak detection algorithm. 6. RESULTS In sections 6.1 and 6.2 we first concentrate on the impact of pattern profile shape variation on the SE-signal. Thereby, we display always the SE-signal as observed at the left edge of the simulated isolated line (the effect at the other edge is just symmetric). For reference, we ve indicated the edge position of an ideal rectangular line in form of a dotted line in all graphs, corresponding to a bottom CD of 200nm. Further, all scales were fixed, so that one pixel along the x-axis represents always a length of 1nm. Finally, in section 6.3 we display and discuss the CD results obtained from the two CD-algorithms described in section 5.

8 6.1 Impact of pattern profile shape on SE signal at intermediate CD-metrology Figure 10 displays the effect of absorber sidewall angle variation on the SE-signal. Note, that for this case the basic profile is a trapezoid shaped absorber layer on top of a non-patterned buffer layer. When the sidewall angle decreases starting from 90, then the signal which is sharply peaked at the ideal edge position turns into a plateau like signal with a small peak at its right end. We observe that the size of the plateau increases with decreasing absorber sidewall angle. Origin of the small peak at the right end of the plateau which is only visible at small beam diameters is the high SEyield present at the top corner of the trapezoid. It has to be mentioned that the simulated images always use the full contrast range of 256 gray levels. Therefore, the absolute signal intensity cannot be compared between different images, respectively signal profiles. We further observe, that the SE-signal at the foot of the profile changes only slightly when modifying the sidewall angle over an even large range of 27. Figure 10. Effect of absorber sidewall angle change on the SE-signal at the intermediate CD metrology step. Figure 11. Effect of top and bottom corner rounding on the SE-signal at the intermediate CD metrology step. From these observations, we deduce that the signal at the line foot is sensitive to bottom CD, whereas the peak on top of the plateau is sensitive to the top CD of the trapezoid feature. In figure 11 we display the effect of top and bottom corner rounding on the SE-signal for a representative case of a trapezoid shaped absorber layer with 63 sidewall angle and a beam diameter of 4nm. With increasing corner rounding the position of the signal dip at the line foot as well as the starting point of the plateau move further away from the ideal edge position. Point of maximum (minimum) SE-yield along the edge is the intersection of the circle like corner with the top line (bottom line) of the trapezoid (flat buffer). Increasing the corner rounding diameter at the top and the bottom simultaneously, both points move further apart from the ideal edge position. Note, that only for this special case at intermediate CD, we did not fix the bottom CD, which is by definition the intersection of absorber and buffer layer, to 200nm. Therefore, figure 11 shows nicely that with increasing bottom corner rounding the bottom CD is enlarged and the signal dip moves accordingly. Figure 12 displays the effect of increasing beam diameter on the SE-signal. The simulation is done for a trapezoid-like absorber layer with a sidewall angle of 83. Here, no corner rounding was chosen to allow an investigation of signal details and fixed bottom CD. At 0nm beam diameter we observe the small peak at the right end of the signal plateau. Increasing the beam diameter has a dramatic effect on the signal shape in the top as well as in the foot region of the profile. Further, we observe that the signal details like the top peak vanish due to the smoothing effect of large sized beams. Therefore, in order to catch

9 signal details providing profile information, beam diameters need to be kept as small as possible (G<1nm) for optimum resolution. Figure 12. Effect of electron beam diameter variation on the SE-signal at the intermediate CD metrology step. 6.2 Impact of pattern profile shape on SE-signal at final CD-metrology Since there is a general lack of experimental data concerning the SE-yield for specific materials, we considered in the simulations the two cases of a buffer layer with a low and a high SE-yield (SEYB). For all simulations performed for the metrology situation at final CD we applied a low (high) SE-yield of about SEYB = 0.7 (2.9) (measured on a flat surface at almost parallel primary beam incident angle and a beam energy of 2 kev). To emphasize the effect of buffer layer SE-yield on the total SE-signal of the profile, we always present in this section both resulting SE-signals in parallel. Investigations concerning the impact of beam diameter on the SE-signal at final CD are similar to the results shown in section 6.1. Therefore, we do not display additional graphs Results from the thick stack model Figure 13 displays the effect of absorber sidewall angle variation on the SE-signal for a basic shape consisting of a thick rectangular buffer with a trapezoid shaped absorber layer on top of it. Figure 13. Effect of absorber sidewall angle variation on SE-signal simulated for a thick stack model and two cases of buffer layer SE-yield.

10 Comparing the graphs of both SEYB-cases to figure 10 we observe that for low SE-yield of the buffer layer the SEsignal situation is quite similar to the situation observed at intermediate CD. The SE-signal shows a plateau that broadens with decreasing absorber sidewall angle. Further, the small peak at the right end of the plateau is visible, thus indicating the position of the trapezoid top corner. In addition we see that this peak dominates the SE-signal. However, the situation is much different when a buffer layer consisting of a material with high SE-yield is used (right diagram in figure 13). For high SEYB, the SE-signal is always strongly peaked close to ideal edge position, which in that case coincides with the position of the foot of the buffer layer. The dominant peak is followed by a plateau region, which ends in a smaller peak at its right end. The small peak indicates the position of the trapezoid top corner and is moving to the right when the absorber sidewall angle is decreased. Our investigations performed on the profile mask reveal that SiO 2 is a buffer material with high SE-yield, i.e. the yield is not significantly diminished by charging. Figure 14 shows a practical case of a cross section corresponding to the thick stack model. On top of the cross section, the average SE-signal derived from the corresponding top-down CD- SEM image is displayed. The experimental SE-signal clearly shows a pronounced peak at the position of the buffer flanks (dashed lines) followed by a smaller peak at the position of the trapezoid top. dominant peak side peak Average signal from top down SEM 500nm space TaN SiO 2 Cross section SEM image Si Figure 14. SEM image and top down SE-signal of a pattern cross-section representing a thick stack case realized with a SiO 2 buffer layer. The simulated effect of top corner rounding of the trapezoid shaped absorber layer on the SE-signal is shown in figure 15. In order to display the main effects it is suitable to look at the cases providing large signal plateaus. Therefore we ve chosen the smallest sidewall angle for the graphs presented here. Figure 15. Effect of top corner rounding on SE-signal simulated for a thick stack model and two cases of buffer layer SE-yield.

11 Important is the observation that for both cases of buffer layer SE-yield the top corner rounding almost does not act on the outermost signal flanks associated to the bottom of the profile. Through top corner rounding only the inner part of the signal plateau is affected. Larger corner rounding leads to an earlier fall off of the signal plateau. Further, due to corner rounding the small peak that is typically present for small beam diameters at the end of the plateau vanishes Results from the thin stack model Similar to the approach for the thick stack model, we start for the thin stack model presenting the effects of absorber sidewall angle on the SE-signal. The relevant graphs resulting from the simulation are shown in figure 16: Figure 16. Effect of absorber sidewall angle variation on SE-signal simulated for a thin stack model and two cases of buffer layer SEyield. As important observation we note that independent of the SE-yield of the buffer layer, the effect of absorber sidewall angle change on the SE-signal is very similar and basically resembles the situation at intermediate CD (see section 6.1). The small and in figure 16 visible difference mainly consists in a steeper rise of the SE-signal for large SEYB along the rising signal flank compared to the case with low SEYB. Thus, for buffer layers with a high SEYB the data shows that reducing the thickness of the buffer layer from 80nm to 10nm has the effect that the dominant peak observed in figure 13 (right diagram) disappears. The SE-signal behaves almost identical to the case of low SEYB. Remnant of the previously so dominant signal peak is a larger signal slope. Figure 17. Effect of top corner rounding on SE-signal simulated for a thin stack model and two cases of buffer layer SE-yield. The effect of corner rounding on SE-signal is displayed in figure 17. The graphs show that apart from the missing pronounced peak for high SEYB (compare to figure 15 right diagram) and the steeper slope the impact of corner

12 rounding is similar to the observations made for the thick stack model. The corner rounding does not act on the rising signal slope but leads to an earlier fall off of the plateau region. 6.3 Impact of pattern profile shape on CD Having generated the SE-signals corresponding to the topographical cases in focus of this study, we applied the CDalgorithms discussed in section 5 to study the impact of pattern profile shape variations on CD. Since we deal always with the evaluation of two CD-algorithms, we present in this section for optimum comparability the results obtained with a commercial algorithm and the peak detection algorithm in parallel. The most important analysis result is the effect of absorber sidewall angle variation on CD, which is displayed for the individual stack cases in figure 18. First, we observe that the response of CD to absorber sidewall angle variations is in first order linear. Comparing the CD variation range of both algorithms, we find that the peak detection algorithm shows a much larger variation range. As we have seen in section 6.1 and 6.2 decreasing the absorber sidewall angle leads to the creation of a plateau in the SEsignal with a small peak at its end, corresponding to top CD position. This phenomenon is the main effect and the peak detection algorithm can catch it quite well. As already stated it is more sensitive to top CD. However, CD measurements of the commercial CD-algorithm are based on using the outermost flanks of the SE-signal (see figure 8), which are less affected by absorber sidewall angle variations. Consequently, knowing the phenomenological dependency of the SEsignal, the commercial algorithm must be less sensitive to absorber sidewall angle variations. Figure 18. Impact of absorber sidewall variations on CD determined for a commercial and a peak detection CD-algorithm. The analysis shows that for most of the simulated topographic cases, except for the case of a thick stack with a buffer layer of SEYB > 1, the signal peaks are strongly related to the top CD of the trapezoid shaped absorber. Therefore, CD determined using the peak CD algorithm is sensitive to top CD, whereas the commercial algorithm returns value that are closer to a bottom CD. Exceptional is the case where we have to deal with a thick stack and a buffer with SEYB > 1. Here, both CD-algorithms show no sensitivity to top CD but only to bottom CD, because the SE-signal is strongly peaked at the edge of the buffer and dominates the overall SE-signal. Note, that for such a case the profile of the absorber layer, which has an impact of the pattern image obtained during exposure, could be arbitrary without showing a significant effect on CD and CDU. In such a case CD measurements are not sufficient for process control. Dedicated tools measuring the shape of the absorber are required. In figure 20, the effect of corner rounding on CD is displayed. As we have already seen in section 6.1 and 6.2, corner rounding effectively leads to an earlier fall off of the SE-signal plateau and basically leaves the rising flank unchanged.

13 Thus, we expect that applying the peak detection algorithm results in a larger CD variation range compared to the commercial CD-algorithm. Exactly this behavior is found in analyzing the CD data. Figure 20. Impact of corner rounding on CD determined for a commercial and a peak detection CD-algorithm. Last but no least we want to mention that we also analyzed the impact of beam diameter on CD. In general, increasing the beam diameter smoothens the SE-signal and decreases the signal slope at the edge of the feature. Both CDalgorithms show a trend for a decreasing CD with increasing beam diameter. However, there are details governing the exact reaction of CD on beam diameter, which are not focus of this article. 7. CONCLUSIONS In this article we have shown the effect of pattern profile shape variations on SE-signal and CD. Apart from the described phenomenological effects the following results are of general interest in SEM metrology: Details of the SE-signal contain valuable information about the pattern profile shape but can only be sensed using sufficiently small electron beam diameters (G<2nm). For the topographical cases in this analysis, CD-algorithms using the outermost flanks of an SE-signal rather measure dimensions reflecting bottom CD, whereas a peak detection algorithm is rather sensitive to the top dimension of a feature. Experimental results as well as simulation results show a double peak at the structure edge, assigned to the top edge position of the absorber, respectively the absorber-buffer transition. This can be used by appropriate CD operators to obtain a detailed description of the structure including the top absorber CD and the buffer CD. Knowing that details in the SE-signal reflect certain features of the pattern profile and the different sensitivity of CDalgorithms opens the question if it is possible to develop a sophisticated SEM-signal algorithm for highly focused SEMs allowing to deduce a model based pattern profile on the basis of one or several top down SEM images. Thus, CD-SEMs could provide more information than just CD. With respect to EUVL and the CD process control required for an EUV mask manufacturing process our results show: Using SiO 2 as buffer material will lead to the introduction of a buffer layer with a very high secondary electron yield, dominating the SE intensity profile. It has to be tested in experiments if this SE-yield remains stable during electron beam exposure or if it is decreased due to charging. In this case, CD operators have to be adapted to assure reproducible CD values. Using thick buffer layers with high SE-yield in combination with state-of-the art commercial CD-algorithms will lead to a significant sensitivity loss of measured CD to even severe variations in absorber sidewall angle.

14 Since the absorber profile has an impact on the CD measured in resist after EUV exposure, the mask manufacturing process would run without control for printed CD. Resulting from the effects induced by the buffer layer, we conclude that from the standpoint of CD-control it is favored to either use buffer materials with a low SE-yield or to use sufficiently thin buffer layers. In the ideal case the buffer layer is completely abandoned from the EUV mask blank. ACKNOWLEDGEMENTS We thank Mr. Eckard Langer of the AMD material analysis laboratory for his support in providing the cross section SEM images. The AMTC gratefully acknowledges the financial support of the German Federal Ministry of Education and Research (BMBF) under contract No. 01M3154A ( Abbildungsmethodiken für nanoelektrische Bauelemente ). REFERENCES [1] International Technology Roadmap for Semiconductors update [2] Pei-yang Yan, Guojing Zhang, Scott Chegwidden, Eberhard Spiller, Paul Mirkarim, BACUS 2003, EUVL Mask with Ru ML Capping. [3] Florian Letzkus, Joerg Butschke, Corinna Koepernik, Christian Holfeld, Joseph Mathuni, Frank Sobel, BACUS 2004, SiO2 Buffer-Etch Process with TaN Absorber for EUV Mask Fabrication. [4] Ludwig Reimer, Manfred Kässens, Lutz Wiese, Microchim. Acta 13, pp , Monte Carlo program with free configuration of specimen geometry and detector signals [5] Carl Georg Frase, Untersuchungen zur Signalentstehung mittels Sekundärelektronen an Mikrostrukturkanten in einem Niederspannungs-Rasterelektronenmikroskop, Dissertation, PTB-Bericht F-46, (2003) (in German)

Critical Challenges of EUV Mask Blank Volume Production

Critical Challenges of EUV Mask Blank Volume Production Critical Challenges of EUV Mask Blank Volume Production Holger Seitz, Markus Renno, Thomas Leutbecher, Nathalie Olschewski, Helmut Popp, Torsten Reichardt, Ronny Walter, Günter Hess SCHOTT Lithotec AG,

More information

Line edge roughness on photo lithographic masks

Line edge roughness on photo lithographic masks Line edge roughness on photo lithographic masks Torben Heins, Uwe Dersch, Roman Liebe, Jan Richter * Advanced Mask Technology Center GmbH & Co KG, Rähnitzer Allee 9, 01109 Dresden, Germany ABSTRACT Line

More information

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology KT Park*, Martin Sczyrba**, Karsten Bubke**, Rainer Pforr*** (*) DPI assignee at AMTC GmbH & Co.

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

(Refer Slide Time: 00:10)

(Refer Slide Time: 00:10) Fundamentals of optical and scanning electron microscopy Dr. S. Sankaran Department of Metallurgical and Materials Engineering Indian Institute of Technology, Madras Module 03 Unit-6 Instrumental details

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Results of a round robin measurement on a new CD mask standard

Results of a round robin measurement on a new CD mask standard Results of a round robin measurement on a new CD mask standard Th. Schätz 1, F. Gans 2, R. Liebe 2, J. Richter 2, B. Hauffe 3, F. Hillmann 4, S. Döbereiner 4, H.-J. Brück 4, G. Scheuring 4, B. Brendel

More information

SECONDARY ELECTRON DETECTION

SECONDARY ELECTRON DETECTION SECONDARY ELECTRON DETECTION CAMTEC Workshop Presentation Haitian Xu June 14 th 2010 Introduction SEM Raster scan specimen surface with focused high energy e- beam Signal produced by beam interaction with

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

Keywords: Ultrasonic Testing (UT), Air-coupled, Contact-free, Bond, Weld, Composites

Keywords: Ultrasonic Testing (UT), Air-coupled, Contact-free, Bond, Weld, Composites Single-Sided Contact-Free Ultrasonic Testing A New Air-Coupled Inspection Technology for Weld and Bond Testing M. Kiel, R. Steinhausen, A. Bodi 1, and M. Lucas 1 Research Center for Ultrasonics - Forschungszentrum

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

EUVL Mask Manufacturing-Technologies and Results

EUVL Mask Manufacturing-Technologies and Results EUVL Mask Manufacturing-Technologies and Results Florian Letzkus *a, Joerg Butschke a, Mathias Irmscher a, Holger Sailer a, Uwe Dersch b, Christian Holfeld b a IMS Chips, Allmandring 3a, 7569 Stuttgart,

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

Pellicle dimensions for high NA photomasks

Pellicle dimensions for high NA photomasks Pellicle dimensions for high NA photomasks Frank Erber a, Thomas Schulmeyer a, Christian Holfeld a a Advanced Technology Center GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT At photomask

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Materials Horizons. This journal is The Royal Society of Chemistry 2017 Supporting Information Nanofocusing of circularly polarized Bessel-type plasmon polaritons

More information

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith 3. Spatial-Phase-Locked Electron-Beam Lithography Sponsors: No external sponsor Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Room-temperature continuous-wave electrically injected InGaN-based laser directly grown on Si Authors: Yi Sun 1,2, Kun Zhou 1, Qian Sun 1 *, Jianping Liu 1, Meixin Feng 1, Zengcheng Li 1, Yu Zhou 1, Liqun

More information

Absolute distance interferometer in LaserTracer geometry

Absolute distance interferometer in LaserTracer geometry Absolute distance interferometer in LaserTracer geometry Corresponding author: Karl Meiners-Hagen Abstract 1. Introduction 1 In this paper, a combination of variable synthetic and two-wavelength interferometry

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers. Copyright 998 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 8 th Annual BACUS Symposium on Photomask Technology and Management SPIE Vol.

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies, Steinbachstrasse 5, D-, Germany and partners developed several tools for EUV-reflectometry in different designs for various types of applications.

More information

EUV Multilayer Fabrication

EUV Multilayer Fabrication EUV Multilayer Fabrication Rigaku Innovative Technologies Inc. Yuriy Platonov, Michael Kriese, Jim Rodriguez ABSTRACT: In this poster, we review our use of tools & methods such as deposition flux simulation

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

One of the key issues in implementing the transition from photolithography to projection e-beam

One of the key issues in implementing the transition from photolithography to projection e-beam Mark topography for alignment and registration in projection electron lithography Reginald C. Farrow, Masis Mkrtchyan, Kevin Bolen, Myrtle Blakey, Chris Biddick, *Ljnus Fetter, Harold Huggins, Regine Tarascon,

More information

ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER. Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA

ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER. Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA Institute of Scientific Instruments of the ASCR, v. v.i., Královopolská

More information

LYNXEYE XE. Innovation with Integrity. High-Resolution Energy-Dispersive Detector for 0D, 1D, and 2D Diffraction XRD

LYNXEYE XE. Innovation with Integrity. High-Resolution Energy-Dispersive Detector for 0D, 1D, and 2D Diffraction XRD High-Resolution Energy-Dispersive Detector for 0D, 1D, and 2D Diffraction The is the first energy dispersive 0D, 1D, and 2D detector operating at room temperature for ultra fast X-ray diffraction measurements.

More information

Evaluation of laser-based active thermography for the inspection of optoelectronic devices

Evaluation of laser-based active thermography for the inspection of optoelectronic devices More info about this article: http://www.ndt.net/?id=15849 Evaluation of laser-based active thermography for the inspection of optoelectronic devices by E. Kollorz, M. Boehnel, S. Mohr, W. Holub, U. Hassler

More information

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Picosecond Ultrasonic Microscopy of Semiconductor Nanostructures Thomas J GRIMSLEY

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

MODULE I SCANNING ELECTRON MICROSCOPE (SEM)

MODULE I SCANNING ELECTRON MICROSCOPE (SEM) MODULE I SCANNING ELECTRON MICROSCOPE (SEM) Scanning Electron Microscope (SEM) Initially, the plan of SEM was offered by H. Stintzing in 1927 (a German patent application). His suggested procedure was

More information

Improved Radiometry for LED Arrays

Improved Radiometry for LED Arrays RadTech Europe 2017 Prague, Czech Republic Oct. 18, 2017 Improved Radiometry for LED Arrays Dr. Robin E. Wright 3M Corporate Research Process Laboratory, retired 3M 2017 All Rights Reserved. 1 Personal

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Defect printability of thin absorber mask in EUV lithography with refined LER resist [#5, MA] Defect printability of thin absorber mask in EUV lithography with refined LER resist Takashi Kamo, Hajime Aoyama, Yukiyasu Arisawa, Mihoko Kijima, Toshihiko Tanaka and Osamu Suga e-mail: kamo.takashi@selete.co.jp

More information

Design, Fabrication and Characterization of Very Small Aperture Lasers

Design, Fabrication and Characterization of Very Small Aperture Lasers 372 Progress In Electromagnetics Research Symposium 2005, Hangzhou, China, August 22-26 Design, Fabrication and Characterization of Very Small Aperture Lasers Jiying Xu, Jia Wang, and Qian Tian Tsinghua

More information

Demo Pattern and Performance Test

Demo Pattern and Performance Test Raith GmbH Hauert 18 Technologiepark D-44227 Dortmund Phone: +49(0)231/97 50 00-0 Fax: +49(0)231/97 50 00-5 Email: postmaster@raith.de Internet: www.raith.com Demo Pattern and Performance Test For Raith

More information

SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar

SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar Mark A. Koten, Ph.D. Senior Research Scientist Electron Optics Group McCrone Associates Why check your SEM image calibration?

More information

M. Senoner 1), Th. Wirth 1), W. E. S. Unger 1), M. Escher 2), N. Weber 2), D. Funnemann 3) and B. Krömker 3) INTRODUCTION

M. Senoner 1), Th. Wirth 1), W. E. S. Unger 1), M. Escher 2), N. Weber 2), D. Funnemann 3) and B. Krömker 3) INTRODUCTION Testing of Lateral Resolution in the Nanometre Range Using the BAM-L002 - Certified Reference Material: Application to ToF-SIMS IV and NanoESCA Instruments M. Senoner 1), Th. Wirth 1), W. E. S. Unger 1),

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

CD-SEM for 65-nm Process Node

CD-SEM for 65-nm Process Node CD-SEM for 65-nm Process Node 140 CD-SEM for 65-nm Process Node Hiroki Kawada Hidetoshi Morokuma Sho Takami Mari Nozoe OVERVIEW: Inspection equipment for 90-nm and subsequent process nodes is required

More information

SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION

SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION Michal URBANEK a, Vladimir KOLARIK a, Milan MATEJKA a, Frantisek MATEJKA a, Jan BOK a, Petr MIKSIK b, Jan VASINA b a) ISI ASCR,v.v.i., Kralovopolska

More information

Comparative Study of Mask Architectures for EUV Lithography

Comparative Study of Mask Architectures for EUV Lithography Comparative Study of Mask Architectures for EUV Lithography Adam R. Pawloski *a, Bruno La Fontaine a, Harry J. Levinson a, Stefan Hirscher b, Siegfried Schwarzl b, Klaus Lowack b, Frank-Michael Kamm b,

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Design and Application of a Quadrupole Detector for Low-Voltage Scanning Electron Mcroscopy

Design and Application of a Quadrupole Detector for Low-Voltage Scanning Electron Mcroscopy SCANNING Vol. 8, 294-299 (1986) 0 FACM. Inc. Received: August 29, 1986 Original Paper Design and Application of a Quadrupole Detector for Low-Voltage Scanning Electron Mcroscopy R. Schmid and M. Brunner"

More information

Practical work no. 3: Confocal Live Cell Microscopy

Practical work no. 3: Confocal Live Cell Microscopy Practical work no. 3: Confocal Live Cell Microscopy Course Instructor: Mikko Liljeström (MIU) 1 Background Confocal microscopy: The main idea behind confocality is that it suppresses the signal outside

More information

Chapter 3 Broadside Twin Elements 3.1 Introduction

Chapter 3 Broadside Twin Elements 3.1 Introduction Chapter 3 Broadside Twin Elements 3. Introduction The focus of this chapter is on the use of planar, electrically thick grounded substrates for printed antennas. A serious problem with these substrates

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

Undulator K-Parameter Measurements at LCLS

Undulator K-Parameter Measurements at LCLS Undulator K-Parameter Measurements at LCLS J. Welch, A. Brachmann, F-J. Decker, Y. Ding, P. Emma, A. Fisher, J. Frisch, Z. Huang, R. Iverson, H. Loos, H-D. Nuhn, P. Stefan, D. Ratner, J. Turner, J. Wu,

More information

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC Patrick Kearney a, Won-Il Cho a, Chan-Uk Jeon a, Eric Gullikson b, Anwei Jia c, Tomoya Tamura c, Atsushi Tajima c,

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

Diamond X-ray Rocking Curve and Topograph Measurements at CHESS

Diamond X-ray Rocking Curve and Topograph Measurements at CHESS Diamond X-ray Rocking Curve and Topograph Measurements at CHESS G. Yang 1, R.T. Jones 2, F. Klein 3 1 Department of Physics and Astronomy, University of Glasgow, Glasgow, UK G12 8QQ. 2 University of Connecticut

More information

The Effects of PCB Fabrication on High-Frequency Electrical Performance

The Effects of PCB Fabrication on High-Frequency Electrical Performance The Effects of PCB Fabrication on High-Frequency Electrical Performance John Coonrod, Rogers Corporation Advanced Circuit Materials Division Achieving optimum high-frequency printed-circuit-board (PCB)

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

New CD-SEM System for 100-nm Node Process

New CD-SEM System for 100-nm Node Process New CD-SEM System for 100-nm Node Process Hitachi Review Vol. 51 (2002), No. 4 125 Osamu Nasu Katsuhiro Sasada Mitsuji Ikeda Makoto Ezumi OVERVIEW: With the semiconductor device manufacturing industry

More information

Functions of the SEM subsystems

Functions of the SEM subsystems Functions of the SEM subsystems Electronic column It consists of an electron gun and two or more electron lenses, which influence the path of electrons traveling down an evacuated tube. The base of the

More information

State-of-The-Art Dielectric Etch Technology

State-of-The-Art Dielectric Etch Technology State-of-The-Art Dielectric Etch Technology Koichi Yatsuda Product Marketing Manager Etch System Business Unit November 5 th, 2010 TM Outline Dielectric Etch Challenges for State-of-The-Art Devices Control

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Waveguiding in PMMA photonic crystals

Waveguiding in PMMA photonic crystals ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 12, Number 3, 2009, 308 316 Waveguiding in PMMA photonic crystals Daniela DRAGOMAN 1, Adrian DINESCU 2, Raluca MÜLLER2, Cristian KUSKO 2, Alex.

More information

Bringing Answers to the Surface

Bringing Answers to the Surface 3D Bringing Answers to the Surface 1 Expanding the Boundaries of Laser Microscopy Measurements and images you can count on. Every time. LEXT OLS4100 Widely used in quality control, research, and development

More information

Development of X-ray Tool For Critical- Dimension Metrology

Development of X-ray Tool For Critical- Dimension Metrology Development of X-ray Tool For Critical- Dimension Metrology Boris Yokhin, Alexander Krokhmal, Alexander Dikopoltsev, David Berman, Isaac Mazor Jordan Valley Semiconductors Ltd., Ramat Gabriel Ind. Zone,

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Bandpass Edge Dichroic Notch & More

Bandpass Edge Dichroic Notch & More Edmund Optics BROCHURE Filters COPYRIGHT 217 EDMUND OPTICS, INC. ALL RIGHTS RESERVED 1/17 Bandpass Edge Dichroic Notch & More Contact us for a Stock or Custom Quote Today! USA: +1-856-547-3488 EUROPE:

More information

Multifluorescence The Crosstalk Problem and Its Solution

Multifluorescence The Crosstalk Problem and Its Solution Multifluorescence The Crosstalk Problem and Its Solution If a specimen is labeled with more than one fluorochrome, each image channel should only show the emission signal of one of them. If, in a specimen

More information

Scanning Electron Microscopy

Scanning Electron Microscopy Scanning Electron Microscopy For the semiconductor industry A tutorial Titel Vorname Nachname Titel Jobtitle, Bereich/Abteilung Overview Scanning Electron microscopy Scanning Electron Microscopy (SEM)

More information

CHAPTER 9 POSITION SENSITIVE PHOTOMULTIPLIER TUBES

CHAPTER 9 POSITION SENSITIVE PHOTOMULTIPLIER TUBES CHAPTER 9 POSITION SENSITIVE PHOTOMULTIPLIER TUBES The current multiplication mechanism offered by dynodes makes photomultiplier tubes ideal for low-light-level measurement. As explained earlier, there

More information

THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR

THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR Mark Downing 1, Peter Sinclaire 1. 1 ESO, Karl Schwartzschild Strasse-2, 85748 Munich, Germany. ABSTRACT The photon

More information

Evaluating the Performance of a Commercial Silicon Drift Detector for X-ray Microanalysis

Evaluating the Performance of a Commercial Silicon Drift Detector for X-ray Microanalysis Evaluating the Performance of a Commercial Silicon Drift Detector for X-ray Microanalysis Edward A. Kenik Materials Science & Technology Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831 kenikea@ornl.gov

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

Fig Color spectrum seen by passing white light through a prism.

Fig Color spectrum seen by passing white light through a prism. 1. Explain about color fundamentals. Color of an object is determined by the nature of the light reflected from it. When a beam of sunlight passes through a glass prism, the emerging beam of light is not

More information

Recent Development Activities on EUVL at ASET

Recent Development Activities on EUVL at ASET Title Recent Development Activities on at ASET Shinji Okazaki ASET Laboratory 2 nd International Workshop on 1 Overall Development Plan 98 99 00 01 02 03 04 05 06 07 08 ASET Basic Technologies 100% Government

More information

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3 Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3 M. F. Doemling, N. R. Rueger, and G. S. Oehrlein a) Department of Physics, University at Albany, State University of

More information

Astigmatism Particle Tracking Velocimetry for Macroscopic Flows

Astigmatism Particle Tracking Velocimetry for Macroscopic Flows 1TH INTERNATIONAL SMPOSIUM ON PARTICLE IMAGE VELOCIMETR - PIV13 Delft, The Netherlands, July 1-3, 213 Astigmatism Particle Tracking Velocimetry for Macroscopic Flows Thomas Fuchs, Rainer Hain and Christian

More information

Shot-noise suppression effects in InGaAs planar diodes at room temperature

Shot-noise suppression effects in InGaAs planar diodes at room temperature Journal of Physics: Conference Series PAPE OPEN ACCESS Shot-noise suppression effects in InGaAs planar diodes at room temperature To cite this article: Ó García-Pérez et al 05 J. Phys.: Conf. Ser. 647

More information

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS Daniel R. Sutton 5th Year Microelectronic Engineering Student Rochester Institute of Technology

More information

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM Stanislav KRÁTKÝ a, Vladimír KOLAŘÍK a, Milan MATĚJKA a, Michal URBÁNEK a, Miroslav HORÁČEK a, Jana

More information

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing Applications for Mask-less E-Beam Lithography between R&D and Manufacturing May 24, 2006 Lithography Forum Johannes Kretz Table of Contents E-Beam Lithography at Qimonda in Dresden Project Environment

More information

A novel tunable diode laser using volume holographic gratings

A novel tunable diode laser using volume holographic gratings A novel tunable diode laser using volume holographic gratings Christophe Moser *, Lawrence Ho and Frank Havermeyer Ondax, Inc. 85 E. Duarte Road, Monrovia, CA 9116, USA ABSTRACT We have developed a self-aligned

More information

The Absolute Detection Efficiency of Vacuum Electron Multipliers, to kev Protons and Ar + Ions.

The Absolute Detection Efficiency of Vacuum Electron Multipliers, to kev Protons and Ar + Ions. The Absolute Detection Efficiency of Vacuum Electron Multipliers, to kev Protons and Ar + Ions. S. Shchemelinin 1, S. Pszona 2, G. Garty 1,, A. Breskin 1, R. Chechik 1 1 Department of Particle Physics,

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Isolator-Free 840-nm Broadband SLEDs for High-Resolution OCT

Isolator-Free 840-nm Broadband SLEDs for High-Resolution OCT Isolator-Free 840-nm Broadband SLEDs for High-Resolution OCT M. Duelk *, V. Laino, P. Navaretti, R. Rezzonico, C. Armistead, C. Vélez EXALOS AG, Wagistrasse 21, CH-8952 Schlieren, Switzerland ABSTRACT

More information

Nanomechanical Mapping of a High Curvature Polymer Brush Grafted

Nanomechanical Mapping of a High Curvature Polymer Brush Grafted Supplementary Information Nanomechanical Mapping of a High Curvature Polymer Brush Grafted from a Rigid Nanoparticle Gunnar Dunér 1, Esben Thormann 1, Andra Dėdinaitė 1,2, Per M. Claesson 1,2, Krzysztof

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

Micro-sensors - what happens when you make classical devices small: MEMS devices and integrated bolometric IR detectors Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors Dean P. Neikirk 1 MURI bio-ir sensors kick-off 6/16/98 Where are the targets

More information