Micromachined Silicon Optical Bench for the Low Cost Optical Module

Size: px
Start display at page:

Download "Micromachined Silicon Optical Bench for the Low Cost Optical Module"

Transcription

1 Micromachined Silicon Optical Bench for the Low Cost Optical Module Ki-Chang Song* a, Jong-Uk Bu a, Young-Sam Jeon a, Chil-Keun Park a, Jae-Hoon Jeong a Han-Joon Koh b, Min-Ho Choi b LG Corporate Institute of Technology 16 Woomyeon-Dong, Seocho-Gu, Seoul , Korea b Optoelectronic Device Lab., LG Cable Ltd. 555 Hogye-Dong, Dongan-Gu, Anyang-Shi, Kyungki-Do 431-8, Korea Abstract A novel self-aligning silicon optical bench for fully passive alignment of optical components and its fabrication method are presented. Key technologies developed in this work are multi-step silicon anisotropic etching for manufacturing SiOB, photolithography on three-dimensional surface, precise size control of optical active chip, and flux- and pressure-free die bonding technology. The measured size tolerance of silicon grooves for the active chip and the fiber reveals less than ±.5µm. The photolithography process on three-dimensional surface of each site is investigated to get the patterns for deposition of electrodes, mirror, and solders, respectively. The precisely cleaved laser diode chip is obtained by V-groove etching to define the cleaving lane. This technique allows the chip to be defined with a dimensional accuracy of ±.5µm. In addition, the pressure-free bonding technique using the electroplated Au-Sn solder makes it possible to reduce the production cost. The fabricated SiOB does not need any pre-alignment process of the optical chip and fiber to desired position such as index alignment method. On the basis of these technologies, it is shown that the fully passive alignment of optical component packaging is successfully preformed. The measured results reveal that the coupling efficiency of the laser diode module was achieved better than 8% and the responsivity of the photo diode module was better than.85 A/W. Keywords: silicon optical bench, SiOB, passive alignment, coupling efficiency, anisotropic etching, and flux-, pressure- free bonding 1. Introduction Recently, there has been considerable interest in manufacturing the low cost optical module. Since packaging steps of individual optoelectronic components dominate the product cost of photonic devices, the improvement of optical alignment procedure between active devices and optical fibers has been a crucial issue to achieve low cost and compact size optical module, while maintaining the desired functionality and performance. So far, active investigations and developments based on passive aligning technology have been carried out to obtain a low cost mass production 1,2. The basic consideration of the passive alignment techniques was flip-chip bonding surface mount technology using the surface tension of molten solder 3,4, index alignment of optical devices with the marker 5 and mechanical contact alignment on the precisely fabricated planar light waveguide 6,7 or micromachined silicon platform 8~1. For the index alignment method, it needs high-resolution solders and markers on the optical parts for a marker alignment 11,12, while the formation of solder bumps and the precise placing of the chip onto the solder bump site are required for the solder bump self-alignment methods 13,14. These conventional methods are in need of a precise prealigning of the optical chip before fixing on silicon optical bench (SiOB) and require an auxiliary part for alignment. These are weak points of manufacturing process for the low cost optical module using existing passive alignment technology. On the other hand, the passive alignment of optoelectronic components using micromachined SiOB for hybrid integration of optoelectronic components has the potential for low cost implementation if the dimensional accuracy of the SiOB and the chip is to be controlled less than.5µm. In this paper, we proposed the novel self-aligning SiOB for a fully passive alignment and its fabrication method. In addition, the passive alignment technology of optical components using micromachined SiOB was demonstrated through the development of required key technologies, multi-step silicon anisotropic etching for manufacturing optical bench, photolithography on three dimensional surface, precise size control for optical active chip and flux- and pressure-free die bonding technology. In addition, through the fabrication of laser diode and photo diode modules, the *Correspondence: skc@lgcit.com; Telephone: ; Fax:

2 self-positioning effect of the wet etched trapezoidal silicon groove which guides the laser diode and photo diode chips to its own positions will be presented and discussed. This conceptual technology for optical component packaging offers important advantages over other passive alignment technologies of a real align free passive alignment and a realization of simple pick and place function without high resolution flip chip bonder. 2. Experiment 5 inch (1) p-type silicon wafers were used as starting materials to fabricate the SiOB. The silicon wafer was anisotropically etched in 2vol% IPA added 2wt% ~ 4wt% KOH solution at 7 ~ 9. The etched dimension was measured by three-dimensional optical measuring equipment with.1µm resolution and step profiler (DEKTAK II Sloan) was used for the depth measurement. In order to estimate the surface roughness of the etched planes and the etching selectivity of (1) plane to (111) plane, atomic force microscopy (AFM) and scanning electron microscopy (SEM) were used. The laser diode used in this study were InP strained multi-quantum well structure grown by MOCVD which has 13 nm in wavelength. Precisely size controlled laser diode chip was fabricated by wet etching process after formation of photolithographically defined 1µm-wide window using SiNx passivation layer. It was etched away showing a necktie shape grooves along the [11] direction. Electroplated Au-Sn eutectic alloy was adopted as a solder material for bonding the active chip onto the optical bench. The composition and melting temperature of solder were analyzed by energy dispersive X-ray spectroscopy (EDX) and differential thermal analysis (DTA). Solder surface was treated with Ar and O 2 mixed gas plasma to remove the oxide and carbon contamination layer and auger electron spectroscopy (AES) was used to analyze the chemical state of the solder surface. 3.1 Fabrication of SiOB 3. Results and discussion It is widely used for bulk micromachining of single crystal to fabricate the packaging platform for optical device. The structural nature of silicon makes it possible to fabricate the precise three-dimensional shape. In general, silicon has a high etching selectivity of (1) to (111) plane in anisotropic wet etching, and it offers a fascinate advantage to apply silicon to the optoelectronic device packaging. In order to use the micromachined SiOB in optical component packaging, the dimensional accuracy is extremely important and the size of etched site is to be controlled with an accuracy of less than.5µm. Therefore, it is necessary to obtain preliminary data regarding the KOH etching characteristics such as surface roughness of (1) and (111), alignment with <11> on (1) surface, and etching selectivity of (1) to (111). Fig. 1 shows the etching properties with KOH concentration and solution temperature. As shown in results, we achieved the good etching properties that the surface roughness of each plane is less than 5nm analyzed by AFM and the selectivity of (1) to (111) is higher than 7 at etching condition of 25wt.% KOH, 8 and IPA 25vol.%. Fig. 2 (a) and show the smooth surface of (1) and (111) and Fig. 2 (c) reveals the etching defect on (111) surface when the etching condition was not optimized. Additionally, pattern alignment with <11> is an important factor to obtain the smooth (111) surface without significant defects such as micro-facet or micro-step. Even though primary flat of asreceived silicon wafer is cut with <11> direction, most primary flats are a little tilted from inborn <11> direction. Therefore, it is important to find the exact <11> direction before photolithography process for defining the size of the terraces. By using pre-etching of fan-shaped patterns that arranged with.1 o spacing, we aligned a pattern to the exact <11> direction on wafer surface within direction accuracy of ±.2 o. Fig. 2 (d) shows the (111) surface having micro-facet due to misalignment with exact <11> and this kind of (111) surface on SiOB causes the misalignment of optical chips to fibers. Another important factor of wet etching process for manufacturing the SiOB is to compensate a convex corner. Since the convex corners of pattern are attacked during the KOH etching process, convex corner need a compensation patterns to obtain desired three-dimensional structures. To optimize the compensation pattern and to determine the compensation pattern shape and size, anisotropic etch processes were simulated using AnisE module (IntelliSense Co.) in the etching condition of 2wt.% ~ 45wt.% KOH solution at 7. Fig. 3 shows pattern shapes of simulation and their result. As shown in Fig. 3, branch type compensation patterns (#5, 6) were proved to be the most effective shapes to protect a convex corner. It is clearly explained in Fig. 4 the corner compensation effect at the laser diode site of SiOB, (a) is the wet etched convex corner pattern without compensation pattern and shows the compensated convex corner when the etch depth was about 1µm.

3 Selectivity of (1) to (111) Optimal condition; KOH 2wt.%~3wt.% IPA 25vol.% temp. 7 o C~8 o C Roughness of (111) plane (A ) Fig. 1. The relationship between etching the selectivity of (1) to (111) and the roughness of (111) plane according to etching conditions. (111) (111) (1) (a) (111) (111) micro-step (c) Fig. 2. SEM photographs showing the wet etched silicon surface of (1) and (111) plane. (d) Schematic views of SiOBs are shown in Fig. 5. Fig. 6 represents SEM pictures of the fabricated SiOBs for the photo diode module and the laser diode module, respectively. In both cases, only one wet etching mask is used during three times etching processes to provides the good advantage to eliminate a pattern displacement error that might happen between each etching step. The coupling efficiency of assembled module strongly depends on the dimensional accuracy of the SiOB because the size deviation of the SiOB from designed dimension causes coupling loss. It is proven that the dimensional accuracy is higher than ±.5µm and the uniformity is less than.5% at 4 inch actual area in 5 inch wafer. The dimension of laser diode terrace for laser diode module is a width of 298µm, a length of 3µm and a depth of 25µm. One of the most difficult processing issues in manufacturing the SiOB is the photolithography on three-dimensional surface. This process is indispensable to get the patterns for the electrodes, the mirror, and the solder on SiOB. Several

4 etch depth (2 %KOH, 7 o C) compensation pattern etch depth (3 %KOH, 7 o C) compensation pattern (4 %KOH, 7 o C) (45 %KOH, 7 o C) etch depth etch depth µm compensation pattern compensation pattern Fig. 3. Compensation patterns in silicon wet etch simulation and the etch depth when compensation patterns are disappeared at each etching condition. Etch depth: 1µm Etch depth: 25µm Etch depth: 25µm (a) Fig. 4. Photographs showing the etched pattern at the convex corner (a) without and with compensation pattern. methods for the conformal coating of photoresist on three-dimensional surface such as electroplating of photoresist or spray coating, have been reported 15, 16, but they require additional process or an equipment. In order to solve this problem, a planarization process on three-dimensional surface by using thick photoresist was developed. High viscosity phtoresists such as AZ-433 and AZ-456 were used to achive a semi-planarization effect with low speed spin coating and reflow step was followed. Fig. 7(a) shows the photolithography pattern on three-dimensional surface using this technique and Fig. 7 reveals the electrode pattern of Ti/Pt/Au (3A/3A/25A) formed by electron beam evaporation and lift-off process using developed photolithography process. 3.2 Precise cleaving of laser diode The fully passive alignment of optical module in this work premises that it can be possible to get the precise size control of optical chip with a dimensional tolerance of less than ±.5µm. Because the dimension error of the optical chip and the SiOB causes to deteriorate a coupling efficiency of optical components, the size control of those is extremely important. On the other hand, since the dimensional tolerance of photo diode is allowed to be a few µm, it is not so critical as that of laser diode and optical bench. It is enough to cleave the photo diode with conventional cleaving method. The laser diode used in this study was 13 nm wavelength InP strained multi-quantum well structure grown by MOCVD. Precisely size controlled laser diode chips were fabricated by wet etching using photolithographically defined

5 (1) LD mpd (1) PD optical fiber optical fiber Receiver PD site monitor PD Site Laser diode site Optical fiber site Optical fiber site Au-Sn Solder (a) Silicon optical bench for self-aligning Silicon optical bench for self-aligning Fig.5. Schematic views of (a) the laser diode module and the photo diode module. (a) Fig. 6. SEM photographs showing the multi-step etched silicon optical bench for (a) the laser diode module and the photo diode module. 1µm window of SiNx. As shown in Fig. 8, it was etched with a necktie shape grooves for cleaving along the [11], and with V-grooves for cleaving along the [1-1] directions. Top contact layer of InGaAs and InP cladding layer were etched by H 2 SO 4 based etchant and a mixed solution of HCl and CH 3 COOH 17,18, respectively. In this wet etching process, the cleaving grooves were etched all along the [11] direction, while the edge parts were etched along the [1-1] direction. The etched wafers were cleaved to chip bars perpendicular to the active stripe of laser diode. After deposition of SiO 2 and TiO 2 on the facet for anti-reflection of light, the chip bar was cleaved parallel to the active stripe to be the precise dimension. Fig. 9 is SEM ptotograph showing necktie shape groove of precisely wet etched laser diode chip. Using this process, the etching of 2µm width and 5µm depth V-groove with nearly vertical sidewall has been realized. The dimension of cleaved chips was investigated by three-dimensional optical measuring equipment, and the chip size was measured as the width of 298µm and the length of 3µm with the size deviation of less than ±.5µm.

6 (a) Fig. 7. Photographs showing (a) the photolithography pattern and the metal pattern on three-dimensional surface. necktie shape groove [11] [1-1] V-groove cleaving plane Fig. 8. Schematic diagram of the precise chip size control. Fig. 9. SEM photograph showing the necktie shape groove. 3.3 Principle of passive alignment The mechanism of passive alignment of optoelectronic components on etched silicon groove is shown in Fig. 1. The precisely cleaved laser diode is placed exactly on the desired position because the outside dimension of laser diode and inside part of trapezoidal silicon groove were controlled with the same size within the accuracy of.5 µm. The sidewall of wet etched silicon groove has the angle of o, which is very helpful to self-aligning of optical chip to its position by pick and place. In fig. 1, it is schematically showed that the laser diode chip moves to its site along the inclined sidewall of micromachined optical bench and is fastened with surface tension of molten solder. Fig. 11 shows the cross-sectional view of self-aligned laser diode on the precisely machined laser diode terrace. The laser diode was positioned exactly on the desired position of silicon groove. The two joining points between the edge of the laser diode and the plane of the silicon sidewall have an exact contact. From this result, it is clearly proven that the aligning accuracy of less than ±.5µm can be reproducibly achieved in the lateral direction, and that the coupling between the laser diodes and optical fibers is accomplished within the tolerance of 1 µm. 3.4 Chip bonding and solder formation In order to bond the chip onto the SiOB, we have approached with flux- and pressure-free bonding technique. If any pressure is applied to the optical chips for bonding, it may be difficult to obtain the aligning accuracy in multi-chip bonding on the same optical bench and the mass productivity will be degraded. Several works have been reported on the flux- and pressure- free two-step chip bonding by applying primary thermo-compression bonding of several chips on

7 Si groove for self-aligning size controlled laser diode chip Au-Sn solder (1) Si groove ( Au-Sn LD Fig. 1. Schematic views of the self-alignment mechanism. Laser Silicon optical bench Au-Sn solder Fig. 11. Photograph showing the cross sectional view of the self-aligned laser diode chip on the silicon optical bench. the prepared silicon platform and later full die bonding together through elevating the temperature above the melting point of used solder 19. Most of previous methods require external force or considerable process time to bond the optoelectronic devices, which might inflict a mechanical damage from the applied ultrasonic bonding force. In the semiconductor chip packaging, various solder materials are used. However, in case of optoelectronic device packaging, 8wt%Au-2wt%Sn eutectic material is being widely used due to its thermal stability. Several studies have been reported on the deposition of Au-Sn solder by the alternation of Au and Sn layer using electron-beam evaporation, thermal evaporation of Au-Sn composite film and the alternation of Au and Sn layer by electroplating 2, 21. In the case of the electron-beam evaporated Au-Sn solder, it is known that its composition is determined by thickness ratio of each layer and its quality is relatively good enough. However, in this work, it is found that photoresist layer is exposed thermal damage during the thick Au-Sn layer is deposited on the SiOB which has a thick photoresist pattern of 25µm ~ 4µm in depth. Therefore, the electroplating process for the Au-Sn solder was tried. The thickness and composition uniformity of the electroplated Au-Sn in 4 inch working area are within ± 5% and ±.8%, respectively. Its chemical composition analyzed by EDX is 78.5wt%Au-21.5wt%Sn, and its melting point measured by DTA is in the range of 28 o C to 288 o C. As shown in Fig. 12, the AES depth prfile of the as-electroplated solder surface shows that solder layer has approximately 2 Å ~3 Å-thick oxide film. By plasma treating in Ar+O 2 gas after electroplating, it is effectively removed the oxide film less than 1 Å. It is realized that the flux- and pressure- free chip bonding using electroplated solder of 2µm is successfully carried out by simply heating up optical components mounted on SiOB. Although there was no significant difference of bonding state between the as-electroplated solder and the Ar+O 2 plasma treated solder, it was hard to conclude the 2Å~3Å oxide layer of solder surface do not affect the bonding strength. Through the longterm stability study of the modules, it is being examined and will be reported later. The chip bonding was carried out in a chamber at 295 o C after evacuating chamber to 1-4 Torr and refilling N 2 +1%H 2 gas to avoid from the surface oxidation. No pressure was applied on the optical components during soldering process, as mentioned above. 3.5 Characterization To estimate the coupling efficiency of passive alignment using micromachined SiOB, the laser diode and photo diode modules were assembled and measured. The coupling efficiency of laser diode module was revealed more than 8%, which is satisfied required specification at the directly butt-coupled laser diode. The output power was 1 dbm at 2 ma. It is expected to be greatly improved using a tapered optical fiber or a spot size converted laser. According to these results, the overall alignment accuracy is estimated to be less than ±3µm. The responsivity of the receiver module was estimated to be better than.85 A/W and the dark current was less than 1 na. Furthermore, the capacitance of assembled SiOB was.7 pf at a bias voltage of 5 V.

8 Sputtering rate: 1A/min Sputtering rate: 1A/min Fig. 12. Comparison of AES depth profile of (a) as-electroplated and Ar+O 2 plasma treated Au-Sn solder surface. 4. Conclusion The premise for a fully passive alignment of optical module is the precise size control of the optical chip and the SiOB with dimensional tolerance of less than ±.5µm. We have successfully demonstrated the micromachined SiOBs, through development of several key technologies such as multi-step anisotropic wet etching without pattern displacement error between each step, compensation pattern design for protection of convex corner, phtolithography on three dimensional surface, and electroplating of Au-Sn eutectic solder. It is realized that a fully passive alignment technology presented in this work does not need any auxiliary processes such as pre-positioning to alignment marker or use of high accuracy bonder. A coupling efficiency of the laser diode module was achieved better than 8% between the laser diode and the flat end fiber, and its output power was -1dBm. The responsivity of the photo diode module was estimated to be better than.85 A/W. This conceptual technology for optical component packaging offers important advantages over other passive alignment technologies of a real align free passive alignment and a realization of simple pick and place function without high resolution flip chip bonder. Acknowledgments The authors would like to thank the assistance of Hong-Jun Chun at Optoelectronic Device Lab., LG Cable Ltd. in the assembly and estimation of the optical module. This work is partially sponsored by the Ministry of Commerce, Industry and Energy, and Ministry of Science and Technology, Republic of Korea, under G7 Advanced Technology Development Program. References [1] H. L. Althaus, W. Gramann, and K. Panzer, Micro systems and wafer processes for volume production of highly reliable fiber optic components for telecom- and datacom-application, Proc. ECTC 97, pp [2] J. V. Gates, G. Henein, J. Shmulovich, D. J. Muehlner, W. M. MacDonald, and R. E. Scotti, Uncooled laser packaging based on silicon optical bench technology, SPIE 96, vol. 261, pp [3] L. F. Miller, Controlled collapse reflow chip joining, IBM J. of Res. Develop., pp , [4] M. J. Wale, and C. Edge, Self-aligned flip-chip assembly of photonic devices with electrical and optical connections, IEEE Trans. Comp. Hybrids, Manufact. Technol., vol. 13, pp , 199. [5] K. Kurata, K. Yamauchi, A. Kawatani, H. Tanaka, H. Honmou, and S. Ishikawa, A surface mount type single-mode laser module using passive alignment, Proc. ECTC 95, pp [6] T. Hayashi, An innovative bonding technique for optical chips using solder bumps that eliminate chip positioning adjustments, IEEE Trans. Comp. Hybrids, Manufact. Technol., vol. 15, pp , [7] Y. Yamada, S. Suzuki, K. Moriwaki, Y. Hibino, Y. Tomori, Y. Akatsu, Y. Nakasuga, T. Hashimoto, H. Terui, M. Yanagisawa, Y. Inoue, Y. Akahori, and R. Nagase, Application of planar lightwave circuit platform to hybrid integrated optical WDM transmitter/receiver module, Electron. Lett., vol. 31, pp , 1995.

9 [8] D. Leclerc, P. Brosson, F. Pommereau, R. Ngo, P. Doussiere, F. Mallecot, P. Gavignet, I. Wamsler, G. Laube, W. Hunziker, W. Vogt, and H. Melchior, High-performance semiconductor optical amplifier array for self-aligned packaging using Si V-groove flip-chip technique, IEEE Photon. Technol. Lett., vol. 7, pp , [9] W. Hunziker, W. Vogt, and H. Melchior, Low cost packaging of semiconductor laser arrays using passive selfaligned flip-chip technique on Si motherboard, Proc. ECTC 95, pp [1] H. Han, J. E. Schramm, J. Mathews, and R. A. Boudreau, Micromachined silicon structures for single mode passive alignment, SPIE 96, vol. 2691, pp [11] A. Goto, S. Nakamura, K. Kurata, M. Funabashi, T. Tanabe, K. Komatsu, O. Akiyama, N. Kitamura, T. Tamura, and S. Ishikawa, Hybrid WDM Transmitter/Receiver Module Using Alignment Free Assembly Techniques, Proc. of 48 th ECTC, pp , [12] T. Hashimoto, Y. Nakasuga, Y. Yamada, H. Terui, M. Yanagisawa, K. Moriwaki, Y. Suzaki, Y. Tohmori, Y. Sakai and H. Okamoto, Hybrid Integration of Spot-Size Converted Laser Diode on Planar Lightwave Circuit Platform by Passive Alignment Technique, IEEE Photon. Technol. Lett., vol. 8, no. 11, pp , [13] Q. Tan and Y. C. Lee, Soldering Technology for Optoelectronic Packaging, Proc. of 47 th ECTC, pp , [14] K. P. Jackson, E. B. Flint, M. F. Cina, D. Lacey, Y. Kwark, J. M. Trewhella, T. Caulfield, P. Buchmann, Ch. Harder, and P. Vettiger, A High-Density, Four-Channel, OEIC Transceiver Module Utilizing Planar-Processed Optical Waveguides and Flip-Chip, Solder-Bump Technology, J. Lightwave Technol., vol. 12, no. 7, pp , [15] S. Linder, H. Baltes, F. Gnaedinger and E. Doering, Photolithography in anisotropically etched grooves, Proc. MEMS 96, pp , [16] [17] S. Arai, GaInAsP/InP Multiple-Microcavity Laser for Low Threshold Operation, OECC 96, 16D2-1, pp. 7-71, [18] E. E. L. Friedrich, M. G. Oberg, B. Broberg, S. Nilsson, and S. Valette, Hybrid Integration of Semiconductor Lasers with Si-Based Single-Mode Ridge Waveguides, J. Lightwave Technol., vol. 1, no. 3, pp , [19] A. Ambrosy, H. Richter, J. Hehmann, and D. Fering, Silicon motherboards for multichannel optical modules, Proc. ECTC 95, pp [2] S. Weiβ, V. Bader, G. Azdasht, P. Kasulke, E. Zakel and H. Reichl, Fluxless die bonding of high power laser bars using the AuSn-Metallurgy Proc. ECTC 97, pp [21] C. Kallmayer, H. Oppermann, J. Kloeser, E. Zakel and H. Reichl, Experimental results on the self-alignment process using Au/Sn metallurgy and on the growth of the ζ-phase during the reflow 95 Flip Chip, BGA,TAB & AP Symposium, pp

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Jae-Woong Nah*, Yves Martin, Swetha Kamlapurkar, Sebastian Engelmann, Robert L. Bruce, and Tymon Barwicz IBM T. J. Watson Research

More information

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 69 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array Roland Jäger and Christian Jung We have designed and fabricated

More information

InP-based Waveguide Photodetector with Integrated Photon Multiplication

InP-based Waveguide Photodetector with Integrated Photon Multiplication InP-based Waveguide Photodetector with Integrated Photon Multiplication D.Pasquariello,J.Piprek,D.Lasaosa,andJ.E.Bowers Electrical and Computer Engineering Department University of California, Santa Barbara,

More information

Semiconductor Optical Amplifiers with Low Noise Figure

Semiconductor Optical Amplifiers with Low Noise Figure Hideaki Hasegawa *, Masaki Funabashi *, Kazuomi Maruyama *, Kazuaki Kiyota *, and Noriyuki Yokouchi * In the multilevel phase modulation which is expected to provide the nextgeneration modulation format

More information

Degradation analysis in asymmetric sampled grating distributed feedback laser diodes

Degradation analysis in asymmetric sampled grating distributed feedback laser diodes Microelectronics Journal 8 (7) 74 74 www.elsevier.com/locate/mejo Degradation analysis in asymmetric sampled grating distributed feedback laser diodes Han Sung Joo, Sang-Wan Ryu, Jeha Kim, Ilgu Yun Semiconductor

More information

Winter College on Optics: Fundamentals of Photonics - Theory, Devices and Applications February 2014

Winter College on Optics: Fundamentals of Photonics - Theory, Devices and Applications February 2014 2572-10 Winter College on Optics: Fundamentals of Photonics - Theory, Devices and Applications 10-21 February 2014 Photonic packaging and integration technologies II Sonia M. García Blanco University of

More information

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique Sensors and Materials, Vol. 18, No. 3 (2006) 125 130 MYU Tokyo 125 S & M 0636 Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique Jung-Hun Kim,

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

InP-based Waveguide Photodetector with Integrated Photon Multiplication

InP-based Waveguide Photodetector with Integrated Photon Multiplication InP-based Waveguide Photodetector with Integrated Photon Multiplication D.Pasquariello,J.Piprek,D.Lasaosa,andJ.E.Bowers Electrical and Computer Engineering Department University of California, Santa Barbara,

More information

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation Low Thermal Resistance Flip-Chip Bonding of 85nm -D VCSEL Arrays Capable of 1 Gbit/s/ch Operation Hendrik Roscher In 3, our well established technology of flip-chip mounted -D 85 nm backside-emitting VCSEL

More information

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Andrea Kroner We present 85 nm wavelength top-emitting vertical-cavity surface-emitting lasers (VCSELs) with integrated photoresist

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Room-temperature continuous-wave electrically injected InGaN-based laser directly grown on Si Authors: Yi Sun 1,2, Kun Zhou 1, Qian Sun 1 *, Jianping Liu 1, Meixin Feng 1, Zengcheng Li 1, Yu Zhou 1, Liqun

More information

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches : MEMS Device Technologies High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches Joji Yamaguchi, Tomomi Sakata, Nobuhiro Shimoyama, Hiromu Ishii, Fusao Shimokawa, and Tsuyoshi

More information

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

This writeup is adapted from Fall 2002, final project report for by Robert Winsor. Optical Waveguides in Andreas G. Andreou This writeup is adapted from Fall 2002, final project report for 520.773 by Robert Winsor. September, 2003 ABSTRACT This lab course is intended to give students

More information

Supplementary Information

Supplementary Information Supplementary Information Wireless thin film transistor based on micro magnetic induction coupling antenna Byoung Ok Jun 1, Gwang Jun Lee 1, Jong Gu Kang 1,2, Seung Uk Kim 1, Ji Woong Choi 1, Seung Nam

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Long-Wavelength Waveguide Photodiodes for Optical Subscriber Networks

Long-Wavelength Waveguide Photodiodes for Optical Subscriber Networks Long-Wavelength Waveguide Photodiodes for Optical Subscriber Networks by Masaki Funabashi *, Koji Hiraiwa *, Kazuaki Nishikata * 2, Nobumitsu Yamanaka *, Norihiro Iwai * and Akihiko Kasukawa * Waveguide

More information

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

Fabrication and Characterization of Broad-Area Lasers with Dry-Etched Mirrors

Fabrication and Characterization of Broad-Area Lasers with Dry-Etched Mirrors Broad-Area Lasers with Dry-Etched Mirrors 31 Fabrication and Characterization of Broad-Area Lasers with Dry-Etched Mirrors Franz Eberhard and Eckard Deichsel Using reactive ion-beam etching (RIBE) we have

More information

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE Chih-Yuan Chang and Yi-Min Hsieh and Xuan-Hao Hsu Department of Mold and Die Engineering, National

More information

Improved Output Performance of High-Power VCSELs

Improved Output Performance of High-Power VCSELs Improved Output Performance of High-Power VCSELs 15 Improved Output Performance of High-Power VCSELs Michael Miller This paper reports on state-of-the-art single device high-power vertical-cavity surfaceemitting

More information

Convergence Challenges of Photonics with Electronics

Convergence Challenges of Photonics with Electronics Convergence Challenges of Photonics with Electronics Edward Palen, Ph.D., P.E. PalenSolutions - Optoelectronic Packaging Consulting www.palensolutions.com palensolutions@earthlink.net 415-850-8166 October

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

Integrated High Speed VCSELs for Bi-Directional Optical Interconnects

Integrated High Speed VCSELs for Bi-Directional Optical Interconnects Integrated High Speed VCSELs for Bi-Directional Optical Interconnects Volodymyr Lysak, Ki Soo Chang, Y ong Tak Lee (GIST, 1, Oryong-dong, Buk-gu, Gwangju 500-712, Korea, T el: +82-62-970-3129, Fax: +82-62-970-3128,

More information

Asymmetric Output Characteristics in 1.3-m Spot-Size Converted Laser Diodes

Asymmetric Output Characteristics in 1.3-m Spot-Size Converted Laser Diodes IEEE JOURNAL OF QUANTUM ELECTRONICS, VOL. 37, NO. 12, DECEMBER 2001 1611 Asymmetric Output Characteristics in 1.3-m Spot-Size Converted Laser Diodes Donghoon Jang, Jongin Shim, Member, IEEE, Jungkee Lee,

More information

Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities

Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities Cheng-Hsuan Lin a, Yi-Chung Lo b, Wensyang Hsu *a a Department of Mechanical Engineering, National Chiao-Tung University,

More information

Hybrid Integration Technology of Silicon Optical Waveguide and Electronic Circuit

Hybrid Integration Technology of Silicon Optical Waveguide and Electronic Circuit Hybrid Integration Technology of Silicon Optical Waveguide and Electronic Circuit Daisuke Shimura Kyoko Kotani Hiroyuki Takahashi Hideaki Okayama Hiroki Yaegashi Due to the proliferation of broadband services

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index. absorption, 69 active tuning, 234 alignment, 394 396 apodization, 164 applications, 7 automated optical probe station, 389 397 avalanche detector, 268 back reflection, 164 band structures, 30 bandwidth

More information

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION

SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION Jorma Salmi and Jaakko Salonen VTT Information Technology Microelectronics P.O. Box 1208 FIN-02044 VTT, Finland (visiting: Micronova, Tietotie

More information

Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications

Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications Proceedings of the 17th World Congress The International Federation of Automatic Control Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications

More information

2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects

2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects 2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects JaeHyun Ahn a, Harish Subbaraman b, Liang Zhu a, Swapnajit Chakravarty b, Emanuel

More information

2007-Novel structures of a MEMS-based pressure sensor

2007-Novel structures of a MEMS-based pressure sensor C-(No.16 font) put by office 2007-Novel structures of a MEMS-based pressure sensor Chang-Sin Park(*1), Young-Soo Choi(*1), Dong-Weon Lee (*2) and Bo-Seon Kang(*2) (1*) Department of Mechanical Engineering,

More information

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL),

More information

High-efficiency, high-speed VCSELs with deep oxidation layers

High-efficiency, high-speed VCSELs with deep oxidation layers Manuscript for Review High-efficiency, high-speed VCSELs with deep oxidation layers Journal: Manuscript ID: Manuscript Type: Date Submitted by the Author: Complete List of Authors: Keywords: Electronics

More information

Design, Fabrication and Testing of Assembly Features for Enabling Sub-micron Accurate Passive Alignment of Photonic Chips on a Silicon Optical Bench

Design, Fabrication and Testing of Assembly Features for Enabling Sub-micron Accurate Passive Alignment of Photonic Chips on a Silicon Optical Bench Design, Fabrication and Testing of Assembly Features for Enabling Sub-micron Accurate Passive Alignment of Photonic Chips on a Silicon Optical Bench J.F.C. van Gurp *, Marcel Tichem, and U. Staufer Delft

More information

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging M. Asghari Kotura Inc April 27 Contents: Who is Kotura Choice of waveguide technology Challenges and merits of Si photonics

More information

New Waveguide Fabrication Techniques for Next-generation PLCs

New Waveguide Fabrication Techniques for Next-generation PLCs New Waveguide Fabrication Techniques for Next-generation PLCs Masaki Kohtoku, Toshimi Kominato, Yusuke Nasu, and Tomohiro Shibata Abstract New waveguide fabrication techniques will be needed to make highly

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

3-5μm F-P Tunable Filter Array based on MEMS technology

3-5μm F-P Tunable Filter Array based on MEMS technology Journal of Physics: Conference Series 3-5μm F-P Tunable Filter Array based on MEMS technology To cite this article: Wei Xu et al 2011 J. Phys.: Conf. Ser. 276 012052 View the article online for updates

More information

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. Issued: Tuesday, Sept. 13, 2011 PROBLEM SET #2 Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. 1. Below in Figure 1.1 is a description of a DRIE silicon etch using the Marvell

More information

Nonuniform output characteristics of laser diode with wet-etched spot-size converter

Nonuniform output characteristics of laser diode with wet-etched spot-size converter Nonuniform output characteristics of laser diode with wet-etched spot-size converter Joong-Seon Choe, Yong-Hwan Kwon, Sung-Bock Kim, and Jung Jin Ju Electronics and Telecommunications Research Institute,

More information

MICROMACHINED INTERFEROMETER FOR MEMS METROLOGY

MICROMACHINED INTERFEROMETER FOR MEMS METROLOGY MICROMACHINED INTERFEROMETER FOR MEMS METROLOGY Byungki Kim, H. Ali Razavi, F. Levent Degertekin, Thomas R. Kurfess G.W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta,

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

Si and InP Integration in the HELIOS project

Si and InP Integration in the HELIOS project Si and InP Integration in the HELIOS project J.M. Fedeli CEA-LETI, Grenoble ( France) ECOC 2009 1 Basic information about HELIOS HELIOS photonics ELectronics functional Integration on CMOS www.helios-project.eu

More information

Improved Output Performance of High-Power VCSELs

Improved Output Performance of High-Power VCSELs Improved Output Performance of High-Power VCSELs Michael Miller and Ihab Kardosh The intention of this paper is to report on state-of-the-art high-power vertical-cavity surfaceemitting laser diodes (VCSELs),

More information

E LECTROOPTICAL(EO)modulatorsarekeydevicesinoptical

E LECTROOPTICAL(EO)modulatorsarekeydevicesinoptical 286 JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 26, NO. 2, JANUARY 15, 2008 Design and Fabrication of Sidewalls-Extended Electrode Configuration for Ridged Lithium Niobate Electrooptical Modulator Yi-Kuei Wu,

More information

HIGH-EFFICIENCY MQW ELECTROABSORPTION MODULATORS

HIGH-EFFICIENCY MQW ELECTROABSORPTION MODULATORS HIGH-EFFICIENCY MQW ELECTROABSORPTION MODULATORS J. Piprek, Y.-J. Chiu, S.-Z. Zhang (1), J. E. Bowers, C. Prott (2), and H. Hillmer (2) University of California, ECE Department, Santa Barbara, CA 93106

More information

Department of Microelectronics, Faculty of Electrical Engineering, CTU, Prague Technicka 2, Prague 6, Czech Republic 2

Department of Microelectronics, Faculty of Electrical Engineering, CTU, Prague Technicka 2, Prague 6, Czech Republic 2 Ročník 2011 Číslo IV Design and Modeling of the ENR Polymer Microring Resonators Add/Drop Filter for Wavelength Division Multiplexing V. Prajzler 1, E. Strilek 1, I. Huttel 2, J. Spirkova 2, V. Jurka 3

More information

Silicon Light Machines Patents

Silicon Light Machines Patents 820 Kifer Road, Sunnyvale, CA 94086 Tel. 408-240-4700 Fax 408-456-0708 www.siliconlight.com Silicon Light Machines Patents USPTO No. US 5,808,797 US 5,841,579 US 5,798,743 US 5,661,592 US 5,629,801 US

More information

Development of Orderly Micro Asperity on Polishing Pad Surface for Chemical Mechanical Polishing (CMP) Process using Anisotropic Etching

Development of Orderly Micro Asperity on Polishing Pad Surface for Chemical Mechanical Polishing (CMP) Process using Anisotropic Etching AIJSTPME (2010) 3(3): 29-34 Development of Orderly Micro Asperity on Polishing Pad Surface for Chemical Mechanical Polishing (CMP) Process using Anisotropic Etching Khajornrungruang P., Kimura K. and Baba

More information

THE head-mounted displays (HMD) directly coupled to

THE head-mounted displays (HMD) directly coupled to IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 44, NO. 1, JANUARY 1997 39 White-Light Emitting Thin-Film Electroluminescent Device Using Micromachined Structure Yun-Hi Lee, Byeong-Kwon Ju, Man-Ho Song, Dong-Ho

More information

Silicon Photonic Device Based on Bragg Grating Waveguide

Silicon Photonic Device Based on Bragg Grating Waveguide Silicon Photonic Device Based on Bragg Grating Waveguide Hwee-Gee Teo, 1 Ming-Bin Yu, 1 Guo-Qiang Lo, 1 Kazuhiro Goi, 2 Ken Sakuma, 2 Kensuke Ogawa, 2 Ning Guan, 2 and Yong-Tsong Tan 2 Silicon photonics

More information

Flip-Chip Integration of 2-D 850 nm Backside Emitting Vertical Cavity Laser Diode Arrays

Flip-Chip Integration of 2-D 850 nm Backside Emitting Vertical Cavity Laser Diode Arrays Flip-Chip Integration of 2-D 850 nm Backside Emitting Vertical Cavity Laser Diode Arrays Hendrik Roscher Two-dimensional (2-D) arrays of 850 nm substrate side emitting oxide-confined verticalcavity lasers

More information

Laser Solder Attach for Optoelectronics Packages

Laser Solder Attach for Optoelectronics Packages 1 Laser Solder Attach for Optoelectronics Packages Elke Zakel, Lars Titerle, Thomas Oppert, Ronald G. Blankenhorn* Pac Tech Packaging Technologies GmbH Am Schlangenhorst 15-17, Germany Phone:+ 49 (0) 33

More information

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist Journal of Mechanical Science and Technology 22 (2008) 1765~1771 Journal of Mechanical Science and Technology www.springerlink.com/content/1738-494x DOI 10.1007/s12206-008-0601-8 Fabrication of suspended

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

Novel Integrable Semiconductor Laser Diodes

Novel Integrable Semiconductor Laser Diodes Novel Integrable Semiconductor Laser Diodes J.J. Coleman University of Illinois 1998-1999 Distinguished Lecturer Series IEEE Lasers and Electro-Optics Society Definition of the Problem Why aren t conventional

More information

Advances in CO 2 -Laser Drilling of Glass Substrates

Advances in CO 2 -Laser Drilling of Glass Substrates Available online at www.sciencedirect.com Physics Procedia 39 (2012 ) 548 555 LANE 2012 Advances in CO 2 -Laser Drilling of Glass Substrates Lars Brusberg,a, Marco Queisser b, Clemens Gentsch b, Henning

More information

High-Power Semiconductor Laser Amplifier for Free-Space Communication Systems

High-Power Semiconductor Laser Amplifier for Free-Space Communication Systems 64 Annual report 1998, Dept. of Optoelectronics, University of Ulm High-Power Semiconductor Laser Amplifier for Free-Space Communication Systems G. Jost High-power semiconductor laser amplifiers are interesting

More information

Semiconductor Optical Active Devices for Photonic Networks

Semiconductor Optical Active Devices for Photonic Networks UDC 621.375.8:621.38:621.391.6 Semiconductor Optical Active Devices for Photonic Networks VKiyohide Wakao VHaruhisa Soda VYuji Kotaki (Manuscript received January 28, 1999) This paper describes recent

More information

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Fabrication and application of a wireless inductance-capacitance coupling microsensor with electroplated high permeability material NiFe

Fabrication and application of a wireless inductance-capacitance coupling microsensor with electroplated high permeability material NiFe Journal of Physics: Conference Series Fabrication and application of a wireless inductance-capacitance coupling microsensor with electroplated high permeability material NiFe To cite this article: Y H

More information

IST IP NOBEL "Next generation Optical network for Broadband European Leadership"

IST IP NOBEL Next generation Optical network for Broadband European Leadership DBR Tunable Lasers A variation of the DFB laser is the distributed Bragg reflector (DBR) laser. It operates in a similar manner except that the grating, instead of being etched into the gain medium, is

More information

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Michal URBÁNEK, Milan MATĚJKA, Jana CHLUMSKÁ, Miroslav HORÁČEK, Institute of Scientific Instruments of the

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template Supporting Information High throughput ultra-long (20cm) nanowire fabrication using a wafer-scale nanograting template Jeongho Yeon 1, Young Jae Lee 2, Dong Eun Yoo 3, Kyoung Jong Yoo 2, Jin Su Kim 2,

More information

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade:

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade: Examination Optoelectronic Communication Technology April, 26 Name: Student ID number: OCT : OCT 2: OCT 3: OCT 4: Total: Grade: Declaration of Consent I hereby agree to have my exam results published on

More information

4-Channel Optical Parallel Transceiver. Using 3-D Polymer Waveguide

4-Channel Optical Parallel Transceiver. Using 3-D Polymer Waveguide 4-Channel Optical Parallel Transceiver Using 3-D Polymer Waveguide 1 Description Fujitsu Component Limited, in cooperation with Fujitsu Laboratories Ltd., has developed a new bi-directional 4-channel optical

More information

Supplementary information for Stretchable photonic crystal cavity with

Supplementary information for Stretchable photonic crystal cavity with Supplementary information for Stretchable photonic crystal cavity with wide frequency tunability Chun L. Yu, 1,, Hyunwoo Kim, 1, Nathalie de Leon, 1,2 Ian W. Frank, 3 Jacob T. Robinson, 1,! Murray McCutcheon,

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

STUDY OF PROPERTIES OF LEAD-FREE SOLDER TYPE Au-20Sn AT ULTRASOUND ASSISTANCE

STUDY OF PROPERTIES OF LEAD-FREE SOLDER TYPE Au-20Sn AT ULTRASOUND ASSISTANCE 8th International DAAAM Baltic Conference "INDUSTRIAL ENGINEERING - 19-21 April 2012, Tallinn, Estonia STUDY OF PROPERTIES OF LEAD-FREE SOLDER TYPE Au-20Sn AT ULTRASOUND ASSISTANCE Chachula M. & Koleňák

More information

A Low-cost Through Via Interconnection for ISM WLP

A Low-cost Through Via Interconnection for ISM WLP A Low-cost Through Via Interconnection for ISM WLP Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim, Seung-Wook Park, Young-Do Kweon, Sung Yi To cite this version: Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim,

More information

1. Exceeding these limits may cause permanent damage.

1. Exceeding these limits may cause permanent damage. Silicon PIN Diode s Features Switch & Attenuator Die Extensive Selection of I-Region Lengths Hermetic Glass Passivated CERMACHIP Oxide Passivated Planar s Voltage Ratings to 3000V Faster Switching Speed

More information

Miniature Mid-Infrared Thermooptic Switch with Photonic Crystal Waveguide Based Silicon-on-Sapphire Mach Zehnder Interferometers

Miniature Mid-Infrared Thermooptic Switch with Photonic Crystal Waveguide Based Silicon-on-Sapphire Mach Zehnder Interferometers Miniature Mid-Infrared Thermooptic Switch with Photonic Crystal Waveguide Based Silicon-on- Mach Zehnder Interferometers Yi Zou, 1,* Swapnajit Chakravarty, 2,* Chi-Jui Chung, 1 1, 2, * and Ray T. Chen

More information

Characterization of Silicon-based Ultrasonic Nozzles

Characterization of Silicon-based Ultrasonic Nozzles Tamkang Journal of Science and Engineering, Vol. 7, No. 2, pp. 123 127 (24) 123 Characterization of licon-based Ultrasonic Nozzles Y. L. Song 1,2 *, S. C. Tsai 1,3, Y. F. Chou 4, W. J. Chen 1, T. K. Tseng

More information

Integrated Optoelectronic Chips for Bidirectional Optical Interconnection at Gbit/s Data Rates

Integrated Optoelectronic Chips for Bidirectional Optical Interconnection at Gbit/s Data Rates Bidirectional Optical Data Transmission 77 Integrated Optoelectronic Chips for Bidirectional Optical Interconnection at Gbit/s Data Rates Martin Stach and Alexander Kern We report on the fabrication and

More information

RECENTLY, using near-field scanning optical

RECENTLY, using near-field scanning optical 1 2 1 2 Theoretical and Experimental Study of Near-Field Beam Properties of High Power Laser Diodes W. D. Herzog, G. Ulu, B. B. Goldberg, and G. H. Vander Rhodes, M. S. Ünlü L. Brovelli, C. Harder Abstract

More information

Physics of Waveguide Photodetectors with Integrated Amplification

Physics of Waveguide Photodetectors with Integrated Amplification Physics of Waveguide Photodetectors with Integrated Amplification J. Piprek, D. Lasaosa, D. Pasquariello, and J. E. Bowers Electrical and Computer Engineering Department University of California, Santa

More information

High Speed Packaging Technologies for 10 Gigabit Ethernet Applications

High Speed Packaging Technologies for 10 Gigabit Ethernet Applications High Speed Packaging Technologies for 10 Gigabit Ethernet Applications Yung-Sheng Liu, Mu-Tao Chu, Daniel Yen Chu, Shin-Ge Lee Opto-Electronics & System Laboratories, Industrial Technology Research Institute,

More information

Semiconductor Optical Communication Components and Devices Lecture 18: Introduction to Diode Lasers - I

Semiconductor Optical Communication Components and Devices Lecture 18: Introduction to Diode Lasers - I Semiconductor Optical Communication Components and Devices Lecture 18: Introduction to Diode Lasers - I Prof. Utpal Das Professor, Department of lectrical ngineering, Laser Technology Program, Indian Institute

More information

Optical MEMS pressure sensor based on a mesa-diaphragm structure

Optical MEMS pressure sensor based on a mesa-diaphragm structure Optical MEMS pressure sensor based on a mesa-diaphragm structure Yixian Ge, Ming WanJ *, and Haitao Yan Jiangsu Key Lab on Opto-Electronic Technology, School of Physical Science and Technology, Nanjing

More information

Prospects of Optical Recording in Tera Era. Han-Ping D. Shieh

Prospects of Optical Recording in Tera Era. Han-Ping D. Shieh Prospects of Optical Recording in Tera Era Han-Ping D. Shieh Inst. of Electro-Optical Engineering Nat l Chiao Tung University Hsinchu, Taiwan 30010 e-mail: hpshieh@cc.nctu.edu.tw Disk Storage Roadmap $/MB

More information

Extended backside-illuminated InGaAs on GaAs IR detectors

Extended backside-illuminated InGaAs on GaAs IR detectors Extended backside-illuminated InGaAs on GaAs IR detectors Joachim John a, Lars Zimmermann a, Patrick Merken a, Gustaaf Borghs a, Chris Van Hoof a Stefan Nemeth b, a Interuniversity MicroElectronics Center

More information

MEMS-based Micro Coriolis mass flow sensor

MEMS-based Micro Coriolis mass flow sensor MEMS-based Micro Coriolis mass flow sensor J. Haneveld 1, D.M. Brouwer 2,3, A. Mehendale 2,3, R. Zwikker 3, T.S.J. Lammerink 1, M.J. de Boer 1, and R.J. Wiegerink 1. 1 MESA+ Institute for Nanotechnology,

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors Veerendra Dhyani 1, and Samaresh Das 1* 1 Centre for Applied Research in Electronics, Indian Institute of Technology Delhi, New Delhi-110016,

More information

Optics Communications

Optics Communications Optics Communications 283 (2010) 3678 3682 Contents lists available at ScienceDirect Optics Communications journal homepage: www.elsevier.com/locate/optcom Ultra-low-loss inverted taper coupler for silicon-on-insulator

More information

Vertical Integration of MM-wave MMIC s and MEMS Antennas

Vertical Integration of MM-wave MMIC s and MEMS Antennas JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.3, SEPTEMBER, 2006 169 Vertical Integration of MM-wave MMIC s and MEMS Antennas Youngwoo Kwon, Yong-Kweon Kim, Sanghyo Lee, and Jung-Mu Kim Abstract

More information

Three-guide Coupled Rectangular Ring Lasers with Total Internal Reflection Mirrors

Three-guide Coupled Rectangular Ring Lasers with Total Internal Reflection Mirrors Three-guide Coupled Rectangular Ring Lasers with Total Internal Reflection Mirrors Doo Gun Kim *1, Woon Kyung Choi 1, In-Il Jung 1, Geum-Yoon Oh 1, Young Wan Choi 1, Jong Chang Yi 2, and Nadir Dagli 3

More information

A thin foil optical strain gage based on silicon-on-insulator microresonators

A thin foil optical strain gage based on silicon-on-insulator microresonators A thin foil optical strain gage based on silicon-on-insulator microresonators D. Taillaert* a, W. Van Paepegem b, J. Vlekken c, R. Baets a a Photonics research group, Ghent University - INTEC, St-Pietersnieuwstraat

More information

4H-SiC Planar MESFET for Microwave Power Device Applications

4H-SiC Planar MESFET for Microwave Power Device Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.5, NO.2, JUNE, 2005 113 4H-SiC Planar MESFET for Microwave Power Device Applications Hoon Joo Na*, Sang Yong Jung*, Jeong Hyun Moon*, Jeong Hyuk Yim*,

More information

Property improvement of flat-top 50 GHz-88 ch arrayed waveguide grating using phase correction waveguides

Property improvement of flat-top 50 GHz-88 ch arrayed waveguide grating using phase correction waveguides Property improvement of flat-top 50 GHz-88 ch arrayed waveguide grating using phase correction waveguides Kazutaka Nara 1a) and Noritaka Matsubara 2 1 FITEL Photonics Laboratory, Furukawa Electric Co.,

More information

3D SOI elements for System-on-Chip applications

3D SOI elements for System-on-Chip applications Advanced Materials Research Online: 2011-07-04 ISSN: 1662-8985, Vol. 276, pp 137-144 doi:10.4028/www.scientific.net/amr.276.137 2011 Trans Tech Publications, Switzerland 3D SOI elements for System-on-Chip

More information

Horizontal single and multiple slot waveguides: optical transmission at λ = 1550 nm

Horizontal single and multiple slot waveguides: optical transmission at λ = 1550 nm Horizontal single and multiple slot waveguides: optical transmission at λ = 1550 nm Rong Sun 1 *, Po Dong 2 *, Ning-ning Feng 1, Ching-yin Hong 1, Jurgen Michel 1, Michal Lipson 2, Lionel Kimerling 1 1Department

More information

High Power AlGaInAs/InP Widely Wavelength Tunable Laser

High Power AlGaInAs/InP Widely Wavelength Tunable Laser Special Issue Optical Communication High Power AlGaInAs/InP Widely Wavelength Tunable Laser Norihiro Iwai* 1, Masaki Wakaba* 1, Kazuaki Kiyota* 3, Tatsuro Kurobe* 1, Go Kobayashi* 4, Tatsuya Kimoto* 3,

More information

DENSE TWO-DIMENSIONAL INTEGRATION OF OPTOELECTRONICS

DENSE TWO-DIMENSIONAL INTEGRATION OF OPTOELECTRONICS DENSE TWO-DIMENSIONAL INTEGRATION OF OPTOELECTRONICS AND ELECTRONICS FOR INTERCONNECTIONS by D. A. B. Miller Preprint November 1997 Presented at Critical Reviews Conference at SPIE s Symp. On Photonics

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information