WEI HUANG Curriculum Vitae

Size: px
Start display at page:

Download "WEI HUANG Curriculum Vitae"

Transcription

1 1 WEI HUANG Curriculum Vitae 4025 Duval Road, Apt 2538 Phone: (434) Austin, TX (preferred) PARTICULARS Areas of Interest Inspired by my experience as a researcher at IBM Austin Research Lab, I am interested in power-aware techniques at the hardware, firmware and OS levels and architecture design for processors, large-scale computing as well as mobile computing. In particular, I am currently interested in exploring the interactions across the system hardware stack including chips, servers, data centers and buildings and identifying opportunities to improve performance and energy efficiency, with awareness of physical constraints such as energy, power delivery, temperature and reliability. Education University of Virginia Charlottesville, VA Ph. D. in Electrical Engineering January 2007 University of Science and Technology of China Hefei, China Bachelor of Engineering, Dept. of Automation June 1998 Dissertation Title: HotSpot A Chip and Package Compact Thermal Modeling Methodology for VLSI Design. Advisors: Prof. Mircea Stan (EE), Prof. Kevin Skadron (CS) Current Status Citizen of China; US permanent resident AWARDS Best Paper Award at International Green Computing Conference (IGCC), First Place Winner (Phase One) and Second Place Winner (Phase Two) in the System-on-Chip (SoC) Design Challenge sponsored by the Semiconductor Research Corporation (SRC), Best Student Paper Award at International Symposium on Computer Architecture (ISCA), Honorable Mention at 40th ISSCC/DAC Student Design Contest, OTHER ACCOMPLISHMENTS To date, HotSpot thermal modeling tool, of which I have been the lead developer, has been downloaded over 3000 times. My research papers have been cited about 1800 times according to Google Scholar.

2 Wei Huang 2 EMPLOYMENT / EXPERIENCES 01/2010-present. Researcher, IBM Austin Research Lab. Performance, power and thermal analysis and management for IBM POWER7 processor and POWER7- based server platforms; IBM System-z power management; Developing power-aware features for future IBM processors and server platforms; System, chip and core-level power modeling; Energy-efficient data center design. Across-stack system design considerations among chips, servers/mobile devices, and data centers. 01/ /2009. Researcher, Department of Computer Science, University of Virginia. Enhance HotSpot and HotLeakage to industry-strength tools; Temperature-aware manycore architecture; Analysis on thermal/power IR-imaging characterization technique. (08/ /2009) Visited Prof. Mark Horowitz s group at Stanford University for potential collaboration. 09/ /2006. Graduate Research Assistant, Department of Electrical and Computer Engineering, University of Virginia. Temperature-aware architecture research; Develop HotSpot thermal modeling tool; VLSI design (SoC for ultrasound imaging; analog Turbo decoder; on-chip inductor design and characterization); Reliability-aware design to reclaim performance margin; Thermal characterization of industry processors (IBM and NVidia). 06/ /2004. Research Intern, IBM T. J. Watson Research Center. IBM processor leakage and thermal characterization and analysis. 07/ /2001. Hardware Design Engineer, Alcatel China (now Alcatel-Lucent China). Hardware and firmware design and debug for access servers connecting PSTN and Internet; System-level integration for Internet access servers. PROFESSIONAL ACTIVITIES Program committee member, IEEE/ACM Design, Automation and Test in Europe (DATE) Program committee member, IEEE International Conference on Networking, Architecture and Storage (NAS) Keynote Speaker at Workshop on Thermal Modeling and Management (TEMM), in conjunction with International Green Computing Conference (IGCC) Invited talk to the Microprocessor and Memory Architecture Technology Community (MMTC) of IBM System and Technology Group (STG), broadcast to IBM employees world wide, Tutorial at IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS) Tutorial at IEEE International Symposium on Workload Characterization (IISWC) Web and Registration chair, IEEE International Symposium on Workload Characterization (IISWC) Session chair, International Green Computing Conference (IGCC) Reviews (>150 papers) for top conferences and journals.

3 Wei Huang 3 PATENTS 2 patent applications filed for IBM in patent applications filed for IBM in patent applications filed for IBM in PAPERS K. Sudan, K. Rajamani, W. Huang, J. B. Carter, Tiered Memory: An Iso-Power Memory Architecture to Address the Memory Power Wall, under minor revisions to IEEE Trans. on Computers K. Sankaranarayanan, B. H. Meyer, W. Huang, R. J. Ribando, H. Haj-Hajiri, M. R. Stan, and K. Skadron, Architectural Implications of Spatial Thermal Filtering., Elsevier Integration, the VLSI Joural (to appear), W. Huang, K. Rajamani, M. R. Stan and K. Skadron, Scaling with Design Constraints: Predicting the Future of Big Chips, IEEE Micro, Special Issue on Big Chips. July/Aug, W. Huang, M. Allen-Ware, J. B. Carter, E. Elnozahy, H. Hamann, T. Keller, C. Lefurgy, J. Li, K. Rajamani, J. Rubio, TAPO: Thermal-Aware Power Optimization Techniques for Servers and Data Centers. Best Paper Award. International Green Computing Conference (IGCC), Wei Huang, Malcolm Allen-Ware, John B. Carter, Edmund Cheng, Kevin Skadron, Mircea Stan, Temperature- Aware Architecture: Lessons and Opportunities. IEEE Micro, May/June, J. Li, W. Huang, L. Zhang, C. Lefurgy, W. Denzel, R. Treumann, K. Wang, Power Shifting in Thrifty Interconnection Network. International Symposium on High-Performance Computer Architecture (HPCA), K. Skadron, M. R. Stan, W. Huang, Thermal Modeling for Processors and Systems-on-Chip (book chapter, ISBN: ). Processor and System-on-Chip Simulation. Eds. O. Temam and R. Leupers, Springer Inc., Z. Qi, B. H. Meyer, W. Huang, R. J. Ribando, K. Skadron, M. R. Stan, Temperature-to-Power Mapping. International Conference on Computer Design (ICCD), W. Huang, M. R. Stan, S. Gurumurthi, R. J. Ribando, and K. Skadron, Interaction of Scaling Trends in Processor Architecture and Cooling. IEEE Semiconductor Thermal Measurement, Modeling, and Management Symposium (Semi-Therm), W. Huang, K. Skadron, S. Gurumurthi, R. J. Ribando, and M. R. Stan, Exploring the Thermal Impact on Manycore Processor Performance. IEEE Semiconductor Thermal Measurement, Modeling, and Management Symposium (Semi-Therm), W. Huang, K. Skadron, S. Gurumurthi, R. J. Ribando, and M. R. Stan, Differentiating the Roles of IR Measurement and Simulation for Power and Temperature-Aware Design. IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2009.

4 Wei Huang W. Huang, K. Sankaranarayanan, K. Skadron, R. J. Ribando, and M. R. Stan, Accurate, Pre-RTL Temperature- Aware Processor Design Using a Parameterized, Geometric Thermal Model, IEEE Transactions on Computers (TCOMP), September, W. Huang, M. Stan, K. Sankaranarayanan, R. Ribando and K. Skadron. Many-Core Design from a Thermal Perspective, Design Automation Conference (DAC), June W. Huang, K. Sankaranarayanan, R. Ribando, M. Stan, K. Skadron. An Improved Block-Based Thermal Model in HotSpot 4.0 with Granularity Considerations, WDDD workshop held in conjunction with International Symposium on Computer Architecture (ISCA), June Z. Lu, W. Huang, K. Skadron, J. Lach and M. R. Stan. Interconnect Lifetime Prediction with Temporal and Spatial Temperature Gradients for Reliability-Aware Design and Runtime Management: Modeling and Applications, IEEE Transactions on Very Large-Scale Integrated Circuits (TVLSI), February, Z. Qi, W. Huang, A. Cabe, W Wu, Y Zhang, G. Rose, M. R. Stan. A Design Methodology for a Low-Power, Temperature-Aware SOC Developed for Medical Image Processors, IEEE International System-On-Chip Conference (SOCC), Sept W. Huang, S. Ghosh, K. Sankaranarayanan, K. Skadron and M. R. Stan. HotSpot: Thermal Modeling for CMOS VLSI Systems, IEEE Transactions on Very Large-Scale Integrated Circuits (TVLSI), May W. Huang, M. R. Stan and K. Skadron. Parameterized Physical Compact Thermal Modeling, IEEE Transactions on Component and Packaging Technologies (TCAPT), December, W. Huang, E. Humenay, K. Skadron and M. Stan. The Need for a Full-Chip and Package Thermal Model for Thermally Optimized IC Designs. Intl. Symp. on Low Power Electronic Design (ISLPED), August S. Velusamy, W. Huang, John Lach, Mircea R. Stan, Kevin Skadron, Monitoring temperature in FPGA based SoCs International Conference on Computer Design (ICCD), Oct K.-J. Lee, K. Skadron and W. Huang. Analytical model for sensor placement on microprocessors International Conference on Computer Design (ICCD), Oct S. Velusamy, W. Huang, J. Lach, M. Stan, and K. Skadron. Experiences using FPGAs for Temperature- Aware Microarchitecture Research. Workshop on Architecture Research using FPGA Platforms (WARFP), in conjunction with the 11th IEEE International Symposium on High Performance Computer Architecture (HPCA), Feb K. Skadron, M. R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, D. Tarjan, Temperature-Aware Microarchitecture: modeling and implementation, ACM Transactions on Architecture and Code Optimization (TACO), March Z. Lu, W. Huang, J. Lach, M. Stan, K. Skadron. Interconnect Lifetime Prediction under Dynamic Stress for Reliability-Aware Design. International Conference on Computer Aided Design (ICCAD), November W. Huang, M. R. Stan, and K. Skadron. Physically-Based Compact Thermal Modeling -Achieving Parameterization and Boundary Condition Independence. International Workshop on Thermal Investigations of ICs (THERMINIC), Sept

5 Wei Huang W. Huang, M. R. Stan, K. Skadron, K. Sankaranarayanan, S. Ghosh, and S. Velusamy. Compact Thermal Modeling for Temperature-Aware Design. Design Automation Conference (DAC), June K. Skadron, M.R. Stan, W. Huang, K. Sankaranarayanan, Z. Lu, and J. Lach. The Need for a Computer- Architecture Approach to Thermal Management in Computer Systems. IEEE International Conference on Thermal, Mechanical and Thermo-Mechanical Simulation and Experiments in Micro-electronics and Microsystems (EuroSimE), May (Keynote presentation within session.) K Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-aware computer systems: opportunities and challenges, IEEE Micro Magazine, Nov-Dec M. R. Stan, K. Skadron, M. Barcella, W. Huang, K. Sankaranarayanan, S. Velusamy, HotSpot: a Dynamic Compact Thermal Model at the Processor-Architecture Level, Microelectronics Journal, June K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-Aware Microarchitecture. International Symposium on Computer Architecture (ISCA), June (Best Student Paper Award) K. Skadron, M. Stan, M. Barcella, A. Dwarka, W. Huang, Y. Li, Y. Ma, A. Naidu, D. Parikh, P. Re, G. Rose, K. Sankaranarayanan, R. Suryanarayan, S. Velusamy, H. Zhang, Y. Zhang. HotSpot: Techniques for Modeling Thermal Effects at the Processor-Architecture Level. International Workshop on Thermal Investigations of ICs (THERMINIC), Sept REFERENCES References are available upon request.

4202 E. Fowler Ave., ENB118, Tampa, Florida kose

4202 E. Fowler Ave., ENB118, Tampa, Florida kose Department of Electrical Engineering, 813.974.6636 (phone), kose@usf.edu 4202 E. Fowler Ave., ENB118, Tampa, Florida 33620 http://www.eng.usf.edu/ kose Research Interests Research interests: On-chip voltage

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Overheat protection circuit for high frequency processors

Overheat protection circuit for high frequency processors BULLETIN OF THE POLISH ACADEMY OF SCIENCES TECHNICAL SCIENCES, Vol. 60, No. 1, 2012 DOI: 10.2478/v10175-012-0009-6 Overheat protection circuit for high frequency processors M. FRANKIEWICZ and A. KOS AGH

More information

Rutgers University Assistant Teaching Professor, ECE Department, Sep Dec 2016

Rutgers University Assistant Teaching Professor, ECE Department, Sep Dec 2016 Naghmeh Karimi Assistant Professor Department of Computer Science and Electrical Engineering University of Maryland, Baltimore County (UMBC) Address: 1000 Hilltop Circle, ITE 314 Baltimore, Maryland 21250

More information

Impact of Process Variations on Multicore Performance Symmetry

Impact of Process Variations on Multicore Performance Symmetry Impact of Process Variations on Multicore Performance Symmetry Eric Humenay, David Tarjan, Kevin Skadron Dept. of Computer Science, University of Virginia Charlottesville, VA 22904 humenay@virginia.edu,

More information

Performance Evaluation of Multi-Threaded System vs. Chip-Multi-Processor System

Performance Evaluation of Multi-Threaded System vs. Chip-Multi-Processor System Performance Evaluation of Multi-Threaded System vs. Chip-Multi-Processor System Ho Young Kim, Robert Maxwell, Ankil Patel, Byeong Kil Lee Abstract The purpose of this study is to analyze and compare the

More information

András Timár, György Bognár, András Poppe, Member, IEEE, and Márta Rencz, Member, IEEE

András Timár, György Bognár, András Poppe, Member, IEEE, and Márta Rencz, Member, IEEE INTERNATIONAL JOURNAL OF MICROELECTRONICS AND COMPUTER SCIENCE, VOL. 1, NO. 3, 2010 287 András Timár, György Bognár, András Poppe, Member, IEEE, and Márta Rencz, Member, IEEE Abstract A novel approach

More information

IMPROVED THERMAL MANAGEMENT WITH RELIABILITY BANKING

IMPROVED THERMAL MANAGEMENT WITH RELIABILITY BANKING IMPROVED THERMAL MANAGEMENT WITH RELIABILITY BANKING USING A FIXED TEMPERATURE FOR THERMAL THROTTLING IS PESSIMISTIC. REDUCED AGING DURING PERIODS OF LOW TEMPERATURE CAN COMPENSATE FOR ACCELERATED AGING

More information

Aatmesh Shrivastava. December Forsyth Street, Boston, MA, 02115

Aatmesh Shrivastava. December Forsyth Street, Boston, MA, 02115 CURRICULUM VITAE Aatmesh Shrivastava December 2016 PERSONAL DATA Office Address: 424 Dana Research Center 110 Forsyth Street, Boston, MA, 02115 Home Address: 255 Northampton Street #501 Boston, MA, 02118

More information

Second Workshop on Pioneering Processor Paradigms (WP 3 )

Second Workshop on Pioneering Processor Paradigms (WP 3 ) Second Workshop on Pioneering Processor Paradigms (WP 3 ) Organizers: (proposed to be held in conjunction with HPCA-2018, Feb. 2018) John-David Wellman (IBM Research) o wellman@us.ibm.com Robert Montoye

More information

A Framework for Assessing the Feasibility of Learning Algorithms in Power-Constrained ASICs

A Framework for Assessing the Feasibility of Learning Algorithms in Power-Constrained ASICs A Framework for Assessing the Feasibility of Learning Algorithms in Power-Constrained ASICs 1 Introduction Alexander Neckar with David Gal, Eric Glass, and Matt Murray (from EE382a) Whether due to injury

More information

Sensing Voltage Transients Using Built-in Voltage Sensor

Sensing Voltage Transients Using Built-in Voltage Sensor Sensing Voltage Transients Using Built-in Voltage Sensor ABSTRACT Voltage transient is a kind of voltage fluctuation caused by circuit inductance. If strong enough, voltage transients can cause system

More information

RING OSCILLATORS AS THERMAL SENSORS IN FPGAS: EXPERIMENTS IN LOW VOLTAGE

RING OSCILLATORS AS THERMAL SENSORS IN FPGAS: EXPERIMENTS IN LOW VOLTAGE RING OSCILLATORS AS THERMAL SENSORS IN FPGAS: EXPERIMENTS IN LOW VOLTAGE John J. León Franco and Eduardo Boemo Escuela Politécnica Superior Universidad Autónoma de Madrid, Spain. email: johnjairo.leon@estudiante.uam.es,

More information

Credit-Based Dynamic Reliability Management Using Online Wearout Detection

Credit-Based Dynamic Reliability Management Using Online Wearout Detection Credit-Based Dynamic Reliability Management Using Online Wearout Detection John Oliver Cal Poly State University San Luis Obispo, CA jyoliver@calpoly.edu Rajeevan Amirtharajah University of California

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION Diary R. Suleiman Muhammed A. Ibrahim Ibrahim I. Hamarash e-mail: diariy@engineer.com e-mail: ibrahimm@itu.edu.tr

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Gates Hall Phone: +1(650) Serra Mall, Room

Gates Hall Phone: +1(650) Serra Mall, Room Mingyu Gao Gates Hall Phone: +1(650)862-0664 353 Serra Mall, Room 318 Email: mgao12@stanford.edu Stanford, CA, 94305 https://www.stanford.edu/ mgao12 Research Interests Computer architecture and systems

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

Preface Preface Jan M. Rabaey Slide 0.1

Preface Preface Jan M. Rabaey Slide 0.1 Preface Jan M. Rabaey Goals of This Book Provide an educational perspective on low-power desgn for digital integrated circuits Promote a structured design methodology for low power/energy design Traverse

More information

shangupt 2260 Hayward St. #4861, Ann Arbor, MI 48105, Ph:

shangupt 2260 Hayward St. #4861, Ann Arbor, MI 48105, Ph: Shantanu Gupta www.eecs.umich.edu/ shangupt 2260 Hayward St. #4861, Ann Arbor, MI 48105, Ph: 734-276-3331 shangupt@umich.edu RESEARCH INTERESTS Architecture and Compiler level solutions for Fault Tolerance

More information

Hotspot Monitoring and Temperature Estimation with Miniature On-Chip Temperature Sensors

Hotspot Monitoring and Temperature Estimation with Miniature On-Chip Temperature Sensors Error ( o C) Hotspot Monitoring and Temperature Estimation with Miniature On-Chip Temperature Sensors Pavan Kumar Chundi, Yini Zhou, Martha Kim, Eren Kursun, Mingoo Seok Columbia University, New York,

More information

Some Limits of Power Delivery in the Multicore Era

Some Limits of Power Delivery in the Multicore Era Some Limits of Power Delivery in the Multicore Era Runjie Zhang University of Virginia Charlottesville, VA, USA Runjie@virginia.edu Kevin Skadron University of Virginia Charlottesville, VA, USA skadron@cs.virginia.edu

More information

Proactive Thermal Management Using Memory Based Computing

Proactive Thermal Management Using Memory Based Computing Proactive Thermal Management Using Memory Based Computing Hadi Hajimiri, Mimonah Al Qathrady, Prabhat Mishra CISE, University of Florida, Gainesville, USA {hadi, qathrady, prabhat}@cise.ufl.edu Abstract

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 70-76 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org A FPGA Implementation of Power

More information

EPD ENGINEERING PRODUCT DEVELOPMENT

EPD ENGINEERING PRODUCT DEVELOPMENT EPD PRODUCT DEVELOPMENT PILLAR OVERVIEW The following chart illustrates the EPD curriculum structure. It depicts the typical sequence of subjects. Each major row indicates a calendar year with columns

More information

Distributed Thermal Management for Embedded Heterogeneous MPSoCs with Dedicated Hardware Accelerators

Distributed Thermal Management for Embedded Heterogeneous MPSoCs with Dedicated Hardware Accelerators Distributed Thermal Management for Embedded Heterogeneous MPSoCs with Dedicated Hardware Accelerators Yen-Kuan Wu Electrical and Computer Engineering Dept. University of California at San Diego La Jolla

More information

pulse horizons imagine new beginnings

pulse horizons imagine new beginnings pulse horizons 19 imagine new beginnings Imagine... The Heartbeat of Innovation Tech Talks Workshops Networking Events Competitions Key Speakers CPO of Uptake, Greg Goff CEO of Nvidia, Jen-Hsun Huang CEO

More information

Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization

Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization Srinivasan Murali, Almir Mutapcic, David Atienza +, Rajesh Gupta, Stephen Boyd, Luca Benini and Giovanni De Micheli

More information

Dr. Eric Bogatin (v) Windriver Dr Longmont, CO

Dr. Eric Bogatin (v) Windriver Dr Longmont, CO Dr. Eric Bogatin (v) 913-424-4333 707 Windriver Dr Longmont, CO 80504 eric@ericbogatin.com www.bethesignal.com Adjunct Professor in Electrical Computer and Energy Engineering Areas of Expertise: Signal

More information

On the Interaction of Power Distribution Network with Substrate

On the Interaction of Power Distribution Network with Substrate On the Interaction of Power Distribution Network with Rajendran Panda, Savithri Sundareswaran, David Blaauw Rajendran.Panda@motorola.com, Savithri_Sundareswaran-A12801@email.mot.com, David.Blaauw@motorola.com

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester, Rochester,

More information

Dynamic thermal management for 3D multicore processors under process variations

Dynamic thermal management for 3D multicore processors under process variations LETTER Dynamic thermal management for 3D multicore processors under process variations Hyejeong Hong, Jaeil Lim, Hyunyul Lim, and Sungho Kang a) School of Electrical and Electronic Engineering, Yonsei

More information

Glenn G. Daves. Director, Packaging Solutions Development Freescale Semiconductor, Inc.

Glenn G. Daves. Director, Packaging Solutions Development Freescale Semiconductor, Inc. Glenn G. Daves Director, Packaging Solutions Development Freescale Semiconductor, Inc. Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, C-Ware, t he Energy Efficient Solutions

More information

VLSI. at IIT Delhi Placements Placement Brochure. Department of Electrical Engineering. Department of Computer Science and Engineering

VLSI. at IIT Delhi Placements Placement Brochure. Department of Electrical Engineering. Department of Computer Science and Engineering VLSI at IIT Delhi Placements 2009-10 http://web.iitd.ac.in/~ee/~iec/ http://web.iitd.ac.in/~vdtt/ Department of Electrical Engineering Department of Computer Science and Engineering Center for Applied

More information

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER ABSTRACT Vaishali Dhare 1 and Usha Mehta 2 1 Assistant Professor, Institute of Technology, Nirma University, Ahmedabad

More information

Big versus Little: Who will trip?

Big versus Little: Who will trip? Big versus Little: Who will trip? Reena Panda University of Texas at Austin reena.panda@utexas.edu Christopher Donald Erb University of Texas at Austin cde593@utexas.edu Lizy Kurian John University of

More information

CURRICULUM VITAE. Evan Drumwright EDUCATION PROFESSIONAL PUBLICATIONS

CURRICULUM VITAE. Evan Drumwright EDUCATION PROFESSIONAL PUBLICATIONS CURRICULUM VITAE Evan Drumwright 209 Dunn Hall The University of Memphis Memphis, TN 38152 Phone: 901-678-3142 edrmwrgh@memphis.edu http://cs.memphis.edu/ edrmwrgh EDUCATION Ph.D., Computer Science, May

More information

EPTC 2017 Panel Session Packaging Challenges & Opportunities of 5G-mm Wave Technology

EPTC 2017 Panel Session Packaging Challenges & Opportunities of 5G-mm Wave Technology EPTC 2017 Panel Session Packaging Challenges & Opportunities of 5G-mm Wave Technology Moderator : Dr. Rick Sturdivant, Department of Engineering and Computer Science, Azusa Pacific University, USA. Dr.

More information

MODERN VLSI DESIGN SOLUTION MANUAL

MODERN VLSI DESIGN SOLUTION MANUAL page 1 / 5 page 2 / 5 modern vlsi design solution pdf Design Constraints are divided into several parts Because its really a wide and important topic. I want to discuss this in detail. I have also noticed

More information

Presented By Tsv. Presented By Tsv

Presented By Tsv. Presented By Tsv We have made it easy for you to find a PDF Ebooks without any digging. And by having access to our ebooks online or by storing it on your computer, you have convenient answers with presented by tsv. To

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

Jeffrey Davis Georgia Institute of Technology School of ECE Atlanta, GA Tel No

Jeffrey Davis Georgia Institute of Technology School of ECE Atlanta, GA Tel No Wave-Pipelined 2-Slot Time Division Multiplexed () Routing Ajay Joshi Georgia Institute of Technology School of ECE Atlanta, GA 3332-25 Tel No. -44-894-9362 joshi@ece.gatech.edu Jeffrey Davis Georgia Institute

More information

Energy Efficiency Benefits of Reducing the Voltage Guardband on the Kepler GPU Architecture

Energy Efficiency Benefits of Reducing the Voltage Guardband on the Kepler GPU Architecture Energy Efficiency Benefits of Reducing the Voltage Guardband on the Kepler GPU Architecture Jingwen Leng Yazhou Zu Vijay Janapa Reddi The University of Texas at Austin {jingwen, yazhou.zu}@utexas.edu,

More information

International Journal of Scientific & Engineering Research, Volume 8, Issue 4, April ISSN

International Journal of Scientific & Engineering Research, Volume 8, Issue 4, April ISSN International Journal of Scientific & Engineering Research, Volume 8, Issue 4, April-2017 324 FPGA Implementation of Reconfigurable Processor for Image Processing Ms. Payal S. Kadam, Prof. S.S.Belsare

More information

In this chapter, review of work carried out is presented in the form of

In this chapter, review of work carried out is presented in the form of LITERATURE REVIEW 2.1 Introduction In this chapter, review of work carried out is presented in the form of literature survey. This chapter also covers the comprehensive general and specific literature

More information

Analytical Modeling and Characterization of TSV for Three Dimensional Integrated Circuits

Analytical Modeling and Characterization of TSV for Three Dimensional Integrated Circuits Analytical Modeling and Characterization of TSV for Three Dimensional Integrated Circuits G.SUBHASHINI 1, J.MANGAIYARKARASI 2 1 PG scholar, M.E VLSI design, 2 Faculty, Department of Electronics and Communication

More information

Assistant Professor, Electrical Engineering and Computer Science

Assistant Professor, Electrical Engineering and Computer Science Steven S. Holland Assistant Professor, Electrical Engineering and Computer Science Degree with Fields, Institution, and Date Doctor of Philosophy, Electrical and Computer Engineering, University of MA:

More information

TENCON 2015 IEEE Region 10 Conference Macau

TENCON 2015 IEEE Region 10 Conference Macau TENCON 2015 IEEE Region 10 Conference Macau 1-4 Nov 2015 Holiday Inn Sands Cotai Central Conference General Chair : MC Wong IEEE Macau Section 5, 6 Mar, 2016 Bangkok, Thailand Outlines Theme Date & Venue

More information

IEEE TENCON Region 10 Conference Nov, 2016 Marina Bay Sands, Singapore

IEEE TENCON Region 10 Conference Nov, 2016 Marina Bay Sands, Singapore IEEE TENCON 2016 Region 10 Conference 22 26 Nov, 2016 Marina Bay Sands, Singapore Conference General Chair: A Alphones IEEE Singapore Section 4 5 Mar 2016 Chiba, Japan Conference Theme for TENCON 2016

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Proactive Thermal Management using Memory-based Computing in Multicore Architectures

Proactive Thermal Management using Memory-based Computing in Multicore Architectures Proactive Thermal Management using Memory-based Computing in Multicore Architectures Subodha Charles, Hadi Hajimiri, Prabhat Mishra Department of Computer and Information Science and Engineering, University

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

Proposal Smart Vision Sensors for Entomologically Inspired Micro Aerial Vehicles Daniel Black. Advisor: Dr. Reid Harrison

Proposal Smart Vision Sensors for Entomologically Inspired Micro Aerial Vehicles Daniel Black. Advisor: Dr. Reid Harrison Proposal Smart Vision Sensors for Entomologically Inspired Micro Aerial Vehicles Daniel Black Advisor: Dr. Reid Harrison Introduction Impressive digital imaging technology has become commonplace in our

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

Electronics and TELECOMMUNICATIONS- AUTOMATION & CONTROL SYSTEMS GENERAL

Electronics and TELECOMMUNICATIONS- AUTOMATION & CONTROL SYSTEMS GENERAL Electronics and TELECOMMUNICATIONS- AUTOMATION & CONTROL SYSTEMS Journals List " " GENERAL Title ISSN Impact Factor ISSU IEEE T PATTERN ANAL 0162-8828 3.579 IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS

More information

Architecture ISCA 16 Luis Ceze, Tom Wenisch

Architecture ISCA 16 Luis Ceze, Tom Wenisch Architecture 2030 @ ISCA 16 Luis Ceze, Tom Wenisch Mark Hill (CCC liaison, mentor) LIVE! Neha Agarwal, Amrita Mazumdar, Aasheesh Kolli (Student volunteers) Context Many fantastic community formation/visioning

More information

Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature Sensor Circuits

Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature Sensor Circuits Journal of Information Processing Systems, Vol.7, No.1, March 2011 DOI : 10.3745/JIPS.2011.7.1.093 Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature

More information

Rapid Prototyping of CMP Floorplans: A Technical Report

Rapid Prototyping of CMP Floorplans: A Technical Report Rapid Prototyping of CMP Floorplans: A Technical Report UVA Dept. of Computer Science TR CS-2012-02, March 30, 2012 Gregory G. Faust, Brett H. Meyer 1 and Kevin Skadron Department of Computer Science,

More information

Curriculum Vitae. Education. Distinctions. Personal info

Curriculum Vitae. Education. Distinctions. Personal info Personal info Full name: Date/Place of birth: February 24 th, 1982, Athens, Greece Nationality: Greek e-mail: evlogaras@yahoo.com Personal website: http://cgi.di.uoa.gr/~evlog/ Education 2008-2015, Ph.D.

More information

Dynamic MIPS Rate Stabilization in Out-of-Order Processors

Dynamic MIPS Rate Stabilization in Out-of-Order Processors Dynamic Rate Stabilization in Out-of-Order Processors Jinho Suh and Michel Dubois Ming Hsieh Dept of EE University of Southern California Outline Motivation Performance Variability of an Out-of-Order Processor

More information

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and

More information

Computer Logical Design Laboratory

Computer Logical Design Laboratory Division of Computer Engineering Computer Logical Design Laboratory Tsuneo Tsukahara Professor Tsuneo Tsukahara: Yukihide Kohira Senior Associate Professor Yu Nakajima Research Assistant Software-Defined

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

High-performance Parallel Concatenated Polar-CRC Decoder Architecture

High-performance Parallel Concatenated Polar-CRC Decoder Architecture JOURAL OF SEMICODUCTOR TECHOLOGY AD SCIECE, VOL.8, O.5, OCTOBER, 208 ISS(Print) 598-657 https://doi.org/0.5573/jsts.208.8.5.560 ISS(Online) 2233-4866 High-performance Parallel Concatenated Polar-CRC Decoder

More information

Thermal Characterization and Optimization in Platform FPGAs

Thermal Characterization and Optimization in Platform FPGAs Thermal Characterization and Optimization in Platform FPGAs Priya Sundararajan, Aman Gayasen, N. Vijaykrishnan, T. Tuan {psundara,gayasen,vijay}@cse.psu.edu, tim.tuan@xilinx.com ABSTRACT Increasing power

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

Interested candidates, please send your resumes to and indicate the job title in subject field.

Interested candidates, please send your resumes to and indicate the job title in subject field. Senior/Test Engineer Responsible for preparing the Production Testpackages (Hardware and Software), and Qualification Testprograms Prepares test specifications and hardware (Probecard, Loadboard) design

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

Tae-Kwang Jang. Electrical Engineering, University of Michigan

Tae-Kwang Jang. Electrical Engineering, University of Michigan Education Tae-Kwang Jang Electrical Engineering, University of Michigan E-Mail: tkjang@umich.edu Ph.D. in Electrical Engineering, University of Michigan September 2013 November 2017 Dissertation title:

More information

Dr. S Intekhab Amin Ph.D (Electronics and Communication Engg.) Mobile :

Dr. S Intekhab Amin Ph.D (Electronics and Communication Engg.) Mobile : Dr. S Intekhab Amin Ph.D (Electronics and Communication Engg.) Mobile : +91-8283073456 E-mail: samin@jmi.ac.in intekhabamin@gmail.com SUMMARY [ Ph.D in Semiconductor Device Design, Modeling and Simulation

More information

Recent Advances in Simulation Techniques and Tools

Recent Advances in Simulation Techniques and Tools Recent Advances in Simulation Techniques and Tools Yuyang Li, li.yuyang(at)wustl.edu (A paper written under the guidance of Prof. Raj Jain) Download Abstract: Simulation refers to using specified kind

More information

Title of the Work To Whom / When In Association with Period. Orissa State Disaster. California Tamil Academy,USA

Title of the Work To Whom / When In Association with Period. Orissa State Disaster. California Tamil Academy,USA S.SANKAR Assistant Professor, Department of Computer Science and Engineering, Dhirajlal Gandhi College of Technology, Omalur, Tamilnadu, India. Experience: 4.1 Years E-Mail: sankar.cse@dgct.ac.in Contact

More information

Chik Patrick Yue, Ph.D. Mobile:

Chik Patrick Yue, Ph.D. Mobile: Chik Patrick Yue, Ph.D. Mobile: +852 9789-5981 eepatrick@ust.hk http://yuegroup.ust.hk/ Education Stanford University Ph.D. in Electrical Engineering 1998 Stanford University M.S. in Electrical Engineering

More information

Lecture 1, Introduction and Background

Lecture 1, Introduction and Background EE 338L CMOS Analog Integrated Circuit Design Lecture 1, Introduction and Background With the advances of VLSI (very large scale integration) technology, digital signal processing is proliferating and

More information

Hybrid Dynamic Thermal Management Based on Statistical Characteristics of Multimedia Applications

Hybrid Dynamic Thermal Management Based on Statistical Characteristics of Multimedia Applications Hybrid Dynamic Thermal Management Based on Statistical Characteristics of Multimedia Applications Inchoon Yeo and Eun Jung Kim Department of Computer Science Texas A&M University College Station, TX 778

More information

र ष ट र य प र द य ग क स स थ न प द च च र

र ष ट र य प र द य ग क स स थ न प द च च र FIRST SEMESTER - (2014 Regulation) HM101 MA101 PH101 CH101 CE101 CS101 CC101 ME101 COMMUNICATION IN ENGLISH I MATHEMATICS I PHYSICS I CHEMISTRY I ENGINEERING MECHANICS BASICS OF PROGRAMMING ENERGY & ENVIRONMENTAL

More information

Characterizing non-ideal Impacts of Reconfigurable Hardware Workloads on Ring Oscillator-based Thermometers

Characterizing non-ideal Impacts of Reconfigurable Hardware Workloads on Ring Oscillator-based Thermometers Characterizing non-ideal Impacts of Reconfigurable Hardware Workloads on Ring Oscillator-based Thermometers Moinuddin A. Sayed Department of Electrical and Computer Engineering Iowa State University Ames,

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

Regulator-Gating: Adaptive Management of On-Chip Voltage Regulators

Regulator-Gating: Adaptive Management of On-Chip Voltage Regulators Regulator-Gating: Adaptive Management of On-Chip Voltage Regulators Selçuk Köse Department of Electrical Engineering University of South Florida Tampa, Florida kose@usf.edu ABSTRACT Design-for-power has

More information

Hybrid Architectural Dynamic Thermal Management

Hybrid Architectural Dynamic Thermal Management Hybrid Architectural Dynamic Thermal Management Kevin Skadron Department of Computer Science, University of Virginia Charlottesville, VA 22904 skadron@cs.virginia.edu Abstract When an application or external

More information

Changjiang Yang. Computer Vision, Pattern Recognition, Machine Learning, Robotics, and Scientific Computing.

Changjiang Yang. Computer Vision, Pattern Recognition, Machine Learning, Robotics, and Scientific Computing. Changjiang Yang Mailing Address: Department of Computer Science University of Maryland College Park, MD 20742 Lab Phone: (301)405-8366 Cell Phone: (410)299-9081 Fax: (301)314-9658 Email: yangcj@cs.umd.edu

More information

Smart Power Delivery using CMOS IC Technology: Promises and Needs

Smart Power Delivery using CMOS IC Technology: Promises and Needs Rensselaer Polytechnic Institute Electrical, Computer, and Systems Eng. Department Troy, NY Smart Power Delivery using CMOS IC Technology: Promises and Needs R.J. Gutmann (gutmar@rpi.edu) and J. Sun Faculty

More information

Adaptive Modulation with Customised Core Processor

Adaptive Modulation with Customised Core Processor Indian Journal of Science and Technology, Vol 9(35), DOI: 10.17485/ijst/2016/v9i35/101797, September 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Adaptive Modulation with Customised Core Processor

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

Publishing Your Research. Margaret Martonosi, Princeton Lydia Tapia, University of New Mexico

Publishing Your Research. Margaret Martonosi, Princeton Lydia Tapia, University of New Mexico Publishing Your Research Margaret Martonosi, Princeton Lydia Tapia, University of New Mexico Margaret Martonosi Intro #1: The Technical Me Cornell BS EE 86 -> Stanford PhD, 1994 Princeton 1994-now: Assist.,

More information

Curriculum Vitae. DrG Bijoy Antony Jose. DrG Bijoy Antony Jose. Conferences. Projects. Publications. Experience. Professional Training.

Curriculum Vitae. DrG Bijoy Antony Jose. DrG Bijoy Antony Jose. Conferences. Projects. Publications. Experience. Professional Training. DrG Bijoy Antony Jose Assistant Professor Department of Electronics Cochin University of Science and Technology Curriculum Vitae Experience Industrial Teaching 6 years Professional Training Awards 3 Achievements

More information

Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University

Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University CURRICULUM VITAE Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University EDUCATION: PhD Computer Science, University of Idaho, December

More information

Optimization of energy consumption in a NOC link by using novel data encoding technique

Optimization of energy consumption in a NOC link by using novel data encoding technique Optimization of energy consumption in a NOC link by using novel data encoding technique Asha J. 1, Rohith P. 1M.Tech, VLSI design and embedded system, RIT, Hassan, Karnataka, India Assistent professor,

More information

On-Chip Decoupling Capacitor Optimization Using Architectural Level Prediction

On-Chip Decoupling Capacitor Optimization Using Architectural Level Prediction IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 3, JUNE 2002 319 On-Chip Decoupling Capacitor Optimization Using Architectural Level Prediction Mondira Deb Pant, Member,

More information

Outline Simulators and such. What defines a simulator? What about emulation?

Outline Simulators and such. What defines a simulator? What about emulation? Outline Simulators and such Mats Brorsson & Mladen Nikitovic ICT Dept of Electronic, Computer and Software Systems (ECS) What defines a simulator? Why are simulators needed? Classifications Case studies

More information

MSEE University of Idaho, Moscow, Idaho Graduation Date: May 1993

MSEE University of Idaho, Moscow, Idaho Graduation Date: May 1993 DOUGLAS ALAN GARRITY 920 W. Laredo Ave. Gilbert, Arizona 85233 Home Phone: (480)-558-7130 Work Phone: (480)-413-3442 Cell Phone: (602)-361-0143 Home Email: dgarrity@cox.net EDUCATION: BSEE Portland State

More information

EE105 Fall 2015 Microelectronic Devices and Circuits. Invention of Transistors

EE105 Fall 2015 Microelectronic Devices and Circuits. Invention of Transistors EE105 Fall 2015 Microelectronic Devices and Circuits Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 Invention of Transistors - 1947 Bardeen, Shockley, and Brattain at Bell Labs Invented

More information