A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology Shahriar Shahramian Sorin P. Voinigescu Anthony Chan Carusone

Size: px
Start display at page:

Download "A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology Shahriar Shahramian Sorin P. Voinigescu Anthony Chan Carusone"

Transcription

1 A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology Shahriar Shahramian Sorin P. Voinigescu Anthony Chan Carusone Department of Electrical & Computer Eng. University of Toronto Canada

2 Introduction & Motivation I Equalization required at high bit rates Analog equalization up to 40 Gb/s Digital equalization is more robust and flexible Photo Detector Digital Equalizer ADC T/H Adaptive Equalizer Equalized Data Clock Recovery Require full rate Track & Hold Amplifiers

3 Introduction & Motivation II Demonstrated 40-GS/sec THA in SiGe BiCMOS f T and f MAX of 160 GHz CMOS technologies scaling to nanometre f T and f MAX exceed 200 GHz for in production CMOS CMOS is a serious contender for implementing DSP based equalizers above 10 Gb/s

4 Introduction & Motivation III Diode Sampling Bridge Switched Emitter Follower J. C. Jensen, et. al. CICC 02 S. Shahramian, et. al. CSICS 05 High speed Low dynamic range Requires diodes High speed Lower supply Isolation in hold mode

5 Introduction & Motivation IV Series CMOS Sampler Switched Source Follower I. H. Wang, et. al. Electronic Letters 06 This work CICC 06 Low supply Low speed due to series CMOS R ON Take advantage of high speed CMOS source follower

6 0.13-µm CMOS Technology Simulated f T and f MAX of 80 GHz 8 layer metallization back end with thick RF top metal layers Available triple-well CMOS transistors Available low power (high V TH ) transistors

7 THA Block Diagram Data Path Input TIA CS CS Diff. Pair Diff. Pair T/H DRV DRV Output Clock CML INV TIA CS CS CML INV CML INV Clock Path

8 Input Stage Design TIA Active loads Improve open loop Gain, T

9 Input Stage Design TIA Eliminating current source transistor reduces power supply voltage

10 Input Stage Design TIA Signal matching through resistive feedback Z R 1 + F in = = T 50Ω

11 Noise matching through transistor sizing Input Stage Design TIA ( ) = 2 2 C o 2 o F OPT B G R G R Z 1 ω 1 R 1 ω 1 W

12 Input Stage Design TIA Transistors biased at J = 0.25 ma/µm, increased noise figure for higher bandwidth Simulated bandwidth: 30 GHz Simulated input integrated noise over 30 GHz: 0.5 mv rms

13 Input Stage Design TIA Inductors improve bandwidth, input matching and filter high frequency noise

14 Input Stage Design TIA CS CS Transistors Q 1 and Q 2 are diode-connected at DC and therefore can bias the next CS stage

15 THA Stage Design T/H Switched source follower for maximum bandwidth

16 THA Stage Design T/H During Track, Q SF acts as a source follower with current I T

17 THA Stage Design T/H During Hold, I T flows through R L which turns Q SF off and isolates C H

18 THA Stage Design T/H Q T and Q H operate in digital mode and thus are biased at J = 0.15mA/µm

19 THA Stage Design T/H High V TH devices are used to drive Q T further into OFF region and reduce leakage

20 THA Stage Design T/H Q SF is implemented as a triple well transistor to reduce V EFF and lower power supply voltage

21 THA Stage Design Diff. Pair T/H A linear buffer drives the T/H block with 600mV PP input and output swing

22 THA Stage Design Diff. Pair T/H Capacitor C fth is used to match Q SF-CGS and thus cancel input signal feedthrough during hold mode

23 THA Stage Design Diff. Pair T/H DRV DRV A linear output driver provides signal to external 50Ω resistors and measurement equipment

24 Clock Distribution CML INV CML INV CML INV Converts a single-ended 30-GHz clock signal to a differential signal with 750mV PP swing

25 Chip Micrograph Manufactured using IBM s 0.13µm CMOS technology TIA CS Diff. Pair Diff. Pair THA DRV The circuit operates from a 1.8V supply and consumes 150mA. CML INV CML INV CML INV TIA CS 1mm 1mm

26 Measurement Results: SP

27 Measurement Results: SP II

28 Time Domain

29 Frequency Domain I

30 Frequency Domain II

31 Frequency Domain III

32 Circuit Comparison f sample [GS/s] Track BW [GHz] THD f in ] Supply [V] Power [mw] Process [N / f T ] This Work 30 1GHz CMOS 7GHz 0.13µm I. H. Wang el. al. 10 N/A 5GHz CMOS Electronic Letters µm J. Lee et. al GHz InP JSSC GHz S. Shahramian et al GHz SiGe CSICS 10GHz 160 GHz Y. Lu et. al GHz SiGe BCTM GHz

33 Conclusion CMOS emerges as a contender for high speed DSP based equalizers Discussed the design methodology for CMOS switched source follower THA Demonstrated the first 30-GS/sec THA in CMOS

34 Acknowledgement CMC for chip fabrication and providing CAD tools NSERC for financial support OIT and CFI for equipment ECIT for providing the network analyzer

An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain

An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain Michael Gordon, Sorin P. Voinigescu University of Toronto Toronto, Ontario, Canada ESSCIRC 2004, Leuven, Belgium Outline Motivation

More information

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers 65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers Michael Gordon, Terry Yao, Sorin P. Voinigescu University of Toronto March 10 2006, UBC, Vancouver Outline Motivation mm-wave

More information

A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS

A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS Masum Hossain & Anthony Chan Carusone Electrical & Computer Engineering University of Toronto Outline Applications g m -Boosting

More information

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS 95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS Ekaterina Laskin, Mehdi Khanpour, Ricardo Aroca, Keith W. Tang, Patrice Garcia 1, Sorin P. Voinigescu University

More information

Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth

Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth S.P. Voinigescu, R. Beerkens*, T.O. Dickson, and T. Chalvatzis University of Toronto *STMicroelectronics,

More information

65-nm CMOS, W-band Receivers for Imaging Applications

65-nm CMOS, W-band Receivers for Imaging Applications 65-nm CMOS, W-band Receivers for Imaging Applications Keith Tang Mehdi Khanpour Patrice Garcia* Christophe Garnier* Sorin Voinigescu University of Toronto, *STMicroelectronics University of Toronto 27

More information

Millimeter-Wave Analog to Digital Converters: Technology Challenges and Architectures. Shahriar Shahramian

Millimeter-Wave Analog to Digital Converters: Technology Challenges and Architectures. Shahriar Shahramian Millimeter-Wave Analog to Digital Converters: Technology Challenges and Architectures by Shahriar Shahramian A thesis submitted in conformity with the requirements for the degree of Doctor of Philosophy

More information

Circuit design of Track-And-Hold Amplifier in Ultra-High-speed Folding-Interpolating ADC Yongcong Liu1,a Jianye Wang2,b

Circuit design of Track-And-Hold Amplifier in Ultra-High-speed Folding-Interpolating ADC Yongcong Liu1,a Jianye Wang2,b 6th International Conference on Machinery, Materials, Environment, Biotechnology and Computer (MMEBC 016) Circuit design of Track-And-old Amplifier in Ultra-igh-speed Folding-Interpolating ADC Yongcong

More information

Low voltage topologies for 40-Gb/s circuits in nanoscale CMOS

Low voltage topologies for 40-Gb/s circuits in nanoscale CMOS IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. XX, NO. XX, MONTH YEAR 1 Low voltage topologies for 40-Gb/s circuits in nanoscale CMOS Theodoros Chalvatzis, Student Member, IEEE, Kenneth H. K. Yau, Student

More information

Methodology for Simultaneous Noise and Impedance Matching in W-band LNAs

Methodology for Simultaneous Noise and Impedance Matching in W-band LNAs Methodology for Simultaneous Noise and Impedance Matching in W-band LNAs Sean T. Nicolson and Sorin Voinigescu University of Toronto sorinv@eecg.toronto.edu CSICS-006, San Antonio, November 15, 006 1 Outline

More information

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS -3GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS Hyohyun Nam and Jung-Dong Park a Division of Electronics and Electrical Engineering, Dongguk University, Seoul E-mail

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

AROBUST and integrated wireline receiver solution is to

AROBUST and integrated wireline receiver solution is to IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 6, JUNE 2009 1709 A 35-GS/s, 4-Bit Flash ADC With Active Data and Clock Distribution Trees Shahriar Shahramian, Student Member, IEEE, Sorin P. Voinigescu,

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

Datasheet. Preliminary. Transimpedance Amplifier 56 Gbit/s T56-150C. Product Description.

Datasheet. Preliminary. Transimpedance Amplifier 56 Gbit/s T56-150C. Product Description. Transimpedance Amplifier 56 Gbit/s Product Code: Product Description Sample image only. Actual product may vary Preliminary The is a high speed transimpedance amplifier (TIA) IC designed for use by 56G

More information

Updates on THz Amplifiers and Transceiver Architecture

Updates on THz Amplifiers and Transceiver Architecture Updates on THz Amplifiers and Transceiver Architecture Sanggeun Jeon, Young-Chai Ko, Moonil Kim, Jae-Sung Rieh, Jun Heo, Sangheon Pack, and Chulhee Kang School of Electrical Engineering Korea University

More information

Chapter 13 Oscillators and Data Converters

Chapter 13 Oscillators and Data Converters Chapter 13 Oscillators and Data Converters 13.1 General Considerations 13.2 Ring Oscillators 13.3 LC Oscillators 13.4 Phase Shift Oscillator 13.5 Wien-Bridge Oscillator 13.6 Crystal Oscillators 13.7 Chapter

More information

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec. MS Thesis esign and Implementation of High-Speed CMOS Clock and ata Recovery Circuit for Optical Interconnection Applications Seong-Jun Song ec. 20, 2002 oratory, epartment of Electrical Engineering and

More information

A Bandgap Voltage Reference Circuit Design In 0.18um Cmos Process

A Bandgap Voltage Reference Circuit Design In 0.18um Cmos Process A Bandgap Voltage Reference Circuit Design In 0.18um Cmos Process It consists of a threshold voltage extractor circuit and a proportional to The behavior of the circuit is analytically described, a design

More information

System-on-Chip Design Beyond 50 GHz

System-on-Chip Design Beyond 50 GHz System-on-Chip Design Beyond 50 GHz Sorin Voinigescu, Michael Gordon, Chihou Lee, Terry Yao, Alain Mangan, and Ken Yau University of Toronto July 20, 2005 1 Outline Motivation Optimal sizing of active

More information

ISSCC 2001 / SESSION 23 / ANALOG TECHNIQUES / 23.2

ISSCC 2001 / SESSION 23 / ANALOG TECHNIQUES / 23.2 ISSCC 2001 / SESSION 23 / ANALOG TECHNIQUES / 23.2 23.2 Dynamically Biased 1MHz Low-pass Filter with 61dB Peak SNR and 112dB Input Range Nagendra Krishnapura, Yannis Tsividis Columbia University, New York,

More information

RF2334. Typical Applications. Final PA for Low Power Applications Broadband Test Equipment

RF2334. Typical Applications. Final PA for Low Power Applications Broadband Test Equipment RF233 AMPLIFIER Typical Applications Broadband, Low Noise Gain Blocks IF or RF Buffer Amplifiers Driver Stage for Power Amplifiers Final PA for Low Power Applications Broadband Test Equipment Product Description

More information

The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades

The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades M. Menouni a, P. Gui b, P. Moreira c a CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France b SMU, Southern Methodist

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

Algorithmic Design Methodologies and Design Porting of Wireline Transceiver IC Building Blocks Between Technology Nodes

Algorithmic Design Methodologies and Design Porting of Wireline Transceiver IC Building Blocks Between Technology Nodes Algorithmic Design Methodologies and Design Porting of Wireline Transceiver IC Building Blocks Between Technology Nodes S.P. Voinigescu1, T.O. Dickson1, T. Chalvatzis1, A. Hazneci1, E. Laskin1, R. Beerkens2,

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

D Flip Flop VWA-40-DFF-SD. Description. Ordering information Part Number: VWA AA. Main Features. Functional Block Diagram

D Flip Flop VWA-40-DFF-SD. Description. Ordering information Part Number: VWA AA. Main Features. Functional Block Diagram D Flip Flop VWA-40-DFF-SD 10 40 Gb/s Description The VWA 50002 AA chip is a D Flip Flop for high data rate application, typically 10 to 40 (tbc) Gb/s. The chip is designed in 0.18µm SiGe BiCMOS 150 GHz

More information

A 16-GHz Ultra-High-Speed Si SiGe HBT Comparator

A 16-GHz Ultra-High-Speed Si SiGe HBT Comparator 1584 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 9, SEPTEMBER 2003 A 16-GHz Ultra-High-Speed Si SiGe HBT Comparator Jonathan C. Jensen, Student Member, IEEE, and Lawrence E. Larson, Fellow, IEEE

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

TU3B-1. An 81 GHz, 470 mw, 1.1 mm 2 InP HBT Power Amplifier with 4:1 Series Power Combining using Sub-quarter-wavelength Baluns

TU3B-1. An 81 GHz, 470 mw, 1.1 mm 2 InP HBT Power Amplifier with 4:1 Series Power Combining using Sub-quarter-wavelength Baluns TU3B-1 Student Paper Finalist An 81 GHz, 470 mw, 1.1 mm 2 InP HBT Power Amplifier with 4:1 Series Power Combining using Sub-quarter-wavelength Baluns H. Park 1, S. Daneshgar 1, J. C. Rode 1, Z. Griffith

More information

A GSM Band Low-Power LNA 1. LNA Schematic

A GSM Band Low-Power LNA 1. LNA Schematic A GSM Band Low-Power LNA 1. LNA Schematic Fig1.1 Schematic of the Designed LNA 2. Design Summary Specification Required Simulation Results Peak S21 (Gain) > 10dB >11 db 3dB Bandwidth > 200MHz (

More information

Fully integrated CMOS transmitter design considerations

Fully integrated CMOS transmitter design considerations Semiconductor Technology Fully integrated CMOS transmitter design considerations Traditionally, multiple IC chips are needed to build transmitters (Tx) used in wireless communications. The difficulty with

More information

RF3375 GENERAL PURPOSE AMPLIFIER

RF3375 GENERAL PURPOSE AMPLIFIER Basestation Applications Broadband, Low-Noise Gain Blocks IF or RF Buffer Amplifiers Driver Stage for Power Amplifiers Final PA for Low-Power Applications High Reliability Applications RF3375General Purpose

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

Analog and RF circuit techniques in nanometer CMOS

Analog and RF circuit techniques in nanometer CMOS Analog and RF circuit techniques in nanometer CMOS Bram Nauta University of Twente The Netherlands http://icd.ewi.utwente.nl b.nauta@utwente.nl UNIVERSITY OF TWENTE. Outline Introduction Balun-LNA-Mixer

More information

Design of a MIMO System for Interference Reduction in a Laptop System. EECS 522 Final Project Group 1 Roland Florenz Maksym Kloka Ben Sutton

Design of a MIMO System for Interference Reduction in a Laptop System. EECS 522 Final Project Group 1 Roland Florenz Maksym Kloka Ben Sutton Design of a MIMO System for Interference Reduction in a Laptop System EECS 522 Final Project Group 1 Roland Florenz Maksym Kloka Ben Sutton Outline Motivation Block Diagram/Concept Introduction Component

More information

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC Hussein Fakhoury and Hervé Petit C²S Research Group Presentation Outline Introduction Basic concepts

More information

+3.3V, 2.5Gbps Quad Transimpedance Amplifier for System Interconnects

+3.3V, 2.5Gbps Quad Transimpedance Amplifier for System Interconnects 19-1855 Rev 0; 11/00 +3.3V, 2.5Gbps Quad Transimpedance Amplifier General Description The is a quad transimpedance amplifier (TIA) intended for 2.5Gbps system interconnect applications. Each of the four

More information

High Speed System Applications

High Speed System Applications High Speed System Applications 1. High Speed Data Conversion Overview 2. Optimizing Data Converter Interfaces 3. DACs, DDSs, PLLs, and Clock Distribution 4. PC Board Layout and Design Tools Copyright 2006

More information

A Low-Noise Frequency Synthesizer for Infrastructure Applications

A Low-Noise Frequency Synthesizer for Infrastructure Applications A Low-Noise Frequency Synthesizer for Infrastructure Applications Shayan Farahvash, William Roberts, Jake Easter, Rachel Wei, Dave Stegmeir, Li Jin RFMD, USA Outline Motivation Design Challenges VCO Capacitor

More information

A Power-Scalable 7-Tap FIR Equalizer with Tunable Active Delay Line for 10-to-25Gb/s Multi-Mode Fiber EDC in 28nm LP-CMOS

A Power-Scalable 7-Tap FIR Equalizer with Tunable Active Delay Line for 10-to-25Gb/s Multi-Mode Fiber EDC in 28nm LP-CMOS A Power-Scalable 7-Tap FIR Equalizer with Tunable Active Delay Line for 10-to-25Gb/s Multi-Mode Fiber EDC in 28nm LP-CMOS E. Mammei, F. Loi, F. Radice*, A. Dati*, M. Bruccoleri*, M. Bassi, A. Mazzanti

More information

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN 5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE 802.11a/b/g WLAN Manolis Terrovitis, Michael Mack, Kalwant Singh, and Masoud Zargari 1 Atheros Communications, Sunnyvale, California 1 Atheros

More information

DEVELOPMENT OF HIGH PERFORMANCE ELECTRONICS AND OPTICAL-TO-ELECTRICAL ADVANCED CIRCUITRY FOR PHOTONIC ANALOG-TO-DIGITAL CONVERTERS

DEVELOPMENT OF HIGH PERFORMANCE ELECTRONICS AND OPTICAL-TO-ELECTRICAL ADVANCED CIRCUITRY FOR PHOTONIC ANALOG-TO-DIGITAL CONVERTERS AFRL-SN-RS-TM-2006-1 Technical Memorandum February 2006 DEVELOPMENT OF HIGH PERFORMANCE ELECTRONICS AND OPTICAL-TO-ELECTRICAL ADVANCED CIRCUITRY FOR PHOTONIC ANALOG-TO-DIGITAL CONVERTERS Mayo Foundation

More information

A 1.55 GHz to 2.45 GHz Center Frequency Continuous-Time Bandpass Delta-Sigma Modulator for Frequency Agile Transmitters

A 1.55 GHz to 2.45 GHz Center Frequency Continuous-Time Bandpass Delta-Sigma Modulator for Frequency Agile Transmitters RMO2C A 1.55 GHz to 2.45 GHz Center Frequency Continuous-Time Bandpass Delta-Sigma Modulator for Frequency Agile Transmitters RFIC 2009 Martin Schmidt, Markus Grözing, Stefan Heck, Ingo Dettmann, Manfred

More information

AN-1098 APPLICATION NOTE

AN-1098 APPLICATION NOTE APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com Methodology for Narrow-Band Interface Design Between High Performance

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

Lecture 20: Passive Mixers

Lecture 20: Passive Mixers EECS 142 Lecture 20: Passive Mixers Prof. Ali M. Niknejad University of California, Berkeley Copyright c 2005 by Ali M. Niknejad A. M. Niknejad University of California, Berkeley EECS 142 Lecture 20 p.

More information

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 93 CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 4.1 INTRODUCTION Ultra Wide Band (UWB) system is capable of transmitting data over a wide spectrum of frequency bands with low power and high data

More information

** Dice/wafers are designed to operate from -40 C to +85 C, but +3.3V. V CC LIMITING AMPLIFIER C FILTER 470pF PHOTODIODE FILTER OUT+ IN TIA OUT-

** Dice/wafers are designed to operate from -40 C to +85 C, but +3.3V. V CC LIMITING AMPLIFIER C FILTER 470pF PHOTODIODE FILTER OUT+ IN TIA OUT- 19-2105; Rev 2; 7/06 +3.3V, 2.5Gbps Low-Power General Description The transimpedance amplifier provides a compact low-power solution for 2.5Gbps communications. It features 495nA input-referred noise,

More information

Precision Rectifier Circuits

Precision Rectifier Circuits Precision Rectifier Circuits Rectifier circuits are used in the design of power supply circuits. In such applications, the voltage being rectified are usually much greater than the diode voltage drop,

More information

Special-Purpose Operational Amplifier Circuits

Special-Purpose Operational Amplifier Circuits Special-Purpose Operational Amplifier Circuits Instrumentation Amplifier An instrumentation amplifier (IA) is a differential voltagegain device that amplifies the difference between the voltages existing

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Introduction to Analog Interfacing. ECE/CS 5780/6780: Embedded System Design. Various Op Amps. Ideal Op Amps

Introduction to Analog Interfacing. ECE/CS 5780/6780: Embedded System Design. Various Op Amps. Ideal Op Amps Introduction to Analog Interfacing ECE/CS 5780/6780: Embedded System Design Scott R. Little Lecture 19: Operational Amplifiers Most embedded systems include components that measure and/or control real-world

More information

155Mbps Fiber-Optic PIN Pre-Amplifier with AG

155Mbps Fiber-Optic PIN Pre-Amplifier with AG 155Mbps Fiber-Optic PIN Pre-Amplifier with AG GENERAL DESCRIPTION The is a trans-impedance amplifier with A GC for 155Mbps fiber channel applications. The A GC function allows -39dB to +3dB input dynamic

More information

EE 501 Lab 10 Output Amplifier Due: December 10th, 2015

EE 501 Lab 10 Output Amplifier Due: December 10th, 2015 EE 501 Lab 10 Output Amplifier Due: December 10th, 2015 Objective: Get familiar with output amplifier. Design an output amplifier driving small resistor load. Design an output amplifier driving large capacitive

More information

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto 20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS Masum Hossain & Tony Chan Carusone University of Toronto masum@eecg.utoronto.ca Motivation Data Rx3 Rx2 D-FF D-FF Rx1 D-FF Clock Clock

More information

Research and Design of Envelope Tracking Amplifier for WLAN g

Research and Design of Envelope Tracking Amplifier for WLAN g Research and Design of Envelope Tracking Amplifier for WLAN 802.11g Wei Wang a, Xiao Mo b, Xiaoyuan Bao c, Feng Hu d, Wenqi Cai e College of Electronics Engineering, Chongqing University of Posts and Telecommunications,

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Abstract In this paper, we present a complete design methodology for high-performance low-power Analog-to-Digital

More information

A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC

A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC M. Åberg 2, A. Rantala 2, V. Hakkarainen 1, M. Aho 1, J. Riikonen 1, D. Gomes Martin 2, K. Halonen 1 1 Electronic Circuit Design Laboratory Helsinki University

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

RF2044A GENERAL PURPOSE AMPLIFIER

RF2044A GENERAL PURPOSE AMPLIFIER GENERAL PURPOSE AMPLIFIER RoHS Compliant and Pb-Free Product Package Style: Micro-X Ceramic Features DC to >6000MHz Operation Internally matched Input and Output 18.5dB Small Signal Gain @ 2GHz 4.0dB Noise

More information

RF2044 GENERAL PURPOSE AMPLIFIER

RF2044 GENERAL PURPOSE AMPLIFIER GENERAL PURPOSE AMPLIFIER RoHS Compliant & Pb-Free Product Package Style: Micro-X Ceramic Features DC to >6000MHz Operation Internally matched Input and Output 20dB Small Signal Gain 4.0dB Noise Figure

More information

SPT Bit, 250 MSPS A/D Converter with Demuxed Outputs

SPT Bit, 250 MSPS A/D Converter with Demuxed Outputs 8-Bit, 250 MSPS A/D Converter with Demuxed Outputs Features TTL/CMOS/PECL input logic compatible High conversion rate: 250 MSPS Single +5V power supply Very low power dissipation: 425mW 350 MHz full power

More information

Design and Scaling of W-Band SiGe BiCMOS VCOs

Design and Scaling of W-Band SiGe BiCMOS VCOs Design and Scaling of W-Band SiGe BiCMOS VCOs S. T. Nicolson 1, K.H.K Yau 1, P. Chevalier 2, A. Chantre 2, B. Sautreuil 2, K.A. Tang 1, and S. P. Voinigescu 1 1) Edward S. Rogers, Sr. Dept. of Electrical

More information

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Indian Journal of Engineering & Materials Sciences Vol. 17, February 2010, pp. 34-38 Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Bhanu

More information

E4332: VLSI Design Laboratory. Columbia University Spring 2005: Lectures

E4332: VLSI Design Laboratory. Columbia University Spring 2005: Lectures E4332: VLSI Design Laboratory Nagendra Krishnapura Columbia University Spring 2005: Lectures nkrishna@vitesse.com 1 AM radio receiver AM radio signals: Audio signals on a carrier Intercept the signal Amplify

More information

CMOS Receiver Design for Optical Communications over the Data-Rate of 20 Gb/s

CMOS Receiver Design for Optical Communications over the Data-Rate of 20 Gb/s CMOS Receiver Design for Optical Communications over the Data-Rate of 20 Gb/s Joseph Chong Dissertation submitted to the Faculty of the Virginia Polytechnic Institute and State University in partial fulfillment

More information

Signal Conditioning Systems

Signal Conditioning Systems Note-13 1 Signal Conditioning Systems 2 Generalized Measurement System: The output signal from a sensor has generally to be processed or conditioned to make it suitable for the next stage Signal conditioning

More information

RF2317. Laser Diode Driver Return Channel Amplifier Base Stations. CATV Distribution Amplifiers Cable Modems Broadband Gain Blocks

RF2317. Laser Diode Driver Return Channel Amplifier Base Stations. CATV Distribution Amplifiers Cable Modems Broadband Gain Blocks CATV Distribution Amplifiers Cable Modems Broadband Gain Blocks Laser Diode Driver Return Channel Amplifier Base Stations The is a general purpose, low cost high linearity RF amplifier IC. The device is

More information

EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019

EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019 EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019 Project: A fully integrated 2.4-2.5GHz Bluetooth receiver. The receiver has LNA, RF mixer, baseband complex filter,

More information

EE 230 Lecture 19. Nonideal Op Amp Characteristics. Offset Voltage Common-mode input range Compensation

EE 230 Lecture 19. Nonideal Op Amp Characteristics. Offset Voltage Common-mode input range Compensation EE 230 Lecture 19 Nonideal Op Amp Characteristics Offset Voltage Common-mode input range Compensation Quiz 13 The operational amplifier has a GB of 20MHz. Determine the 3dB bandwidth of the closed-loop

More information

350MHz, Ultra-Low-Noise Op Amps

350MHz, Ultra-Low-Noise Op Amps 9-442; Rev ; /95 EVALUATION KIT AVAILABLE 35MHz, Ultra-Low-Noise Op Amps General Description The / op amps combine high-speed performance with ultra-low-noise performance. The is compensated for closed-loop

More information

Fast CMOS Transimpedance Amplifier and Comparator circuit for readout of silicon strip detectors at LHC experiments

Fast CMOS Transimpedance Amplifier and Comparator circuit for readout of silicon strip detectors at LHC experiments Fast CMOS Transimpedance Amplifier and Comparator circuit for readout of silicon strip detectors at LHC experiments Jan Kaplon - CERN Wladek Dabrowski - FPN/UMM Cracow Pepe Bernabeu IFIC Valencia Carlos

More information

Another way to implement a folding ADC

Another way to implement a folding ADC Another way to implement a folding ADC J. Van Valburg and R. van de Plassche, An 8-b 650 MHz Folding ADC, IEEE JSSC, vol 27, #12, pp. 1662-6, Dec 1992 Coupled Differential Pair J. Van Valburg and R. van

More information

RFIC2017. Fully-Scalable 2D THz Radiating Array: A 42-Element Source in 130-nm SiGe with 80-μW Total Radiated Power at 1.01THz

RFIC2017. Fully-Scalable 2D THz Radiating Array: A 42-Element Source in 130-nm SiGe with 80-μW Total Radiated Power at 1.01THz Student Paper Finalist Fully-Scalable 2D THz Radiating Array: A 42-Element Source in 130-nm SiGe with 80-μW Total Radiated Power at 1.01THz Zhi Hu and Ruonan Han MIT, Cambridge, MA, USA 1 Outline Motivation

More information

PART TOP VIEW V EE 1 V CC 1 CONTROL LOGIC

PART TOP VIEW V EE 1 V CC 1 CONTROL LOGIC 19-1331; Rev 1; 6/98 EVALUATION KIT AVAILABLE Upstream CATV Driver Amplifier General Description The MAX3532 is a programmable power amplifier for use in upstream cable applications. The device outputs

More information

Mixer. General Considerations V RF VLO. Noise. nonlinear, R ON

Mixer. General Considerations V RF VLO. Noise. nonlinear, R ON 007/Nov/7 Mixer General Considerations LO S M F F LO L Noise ( a) nonlinearity (b) Figure 6.5 (a) Simple switch used as mixer (b) implementation of switch with an NMOS device. espect to espect to It is

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

THE SELF-BIAS PLL IN STANDARD CMOS

THE SELF-BIAS PLL IN STANDARD CMOS THE SELF-BIAS PLL IN STANDAD CMOS Miljan Nikolić, Milan Savić, Predrag Petković Laboratory for Electronic Design Automation, Faculty of Electronic Engineering, University of Niš, Aleksandra Medvedeva 14.,

More information

A 2 Gbit/s 0.18 µm CMOS Front-End Amplifier. Integrated Differential Photodiodes

A 2 Gbit/s 0.18 µm CMOS Front-End Amplifier. Integrated Differential Photodiodes Gbit/s 0.18 µm CMOS Front-End mplifier for Integrated Differential Photodiodes Markus Grözing, Michael Jutzi, Winfried Nanz, and Manfred Berroth 1 Prof. Dr.-Ing. Manfred Berroth OULINE Motivation Differential

More information

LM148/LM248/LM348 Quad 741 Op Amps

LM148/LM248/LM348 Quad 741 Op Amps Quad 741 Op Amps General Description The LM148 series is a true quad 741. It consists of four independent, high gain, internally compensated, low power operational amplifiers which have been designed to

More information

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS A 4 Channel Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I Large Area Picosecond

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.3

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.3 ISSCC 2003 / SESSION 10 / HIGH SPEE BUILING BLOCKS / PAPER 10.3 10.3 A 2.5 to 10GHz Clock Multiplier Unit with 0.22ps RMS Jitter in a 0.18µm CMOS Technology Remco C.H. van de Beek 1, Cicero S. Vaucher

More information

Research on Self-biased PLL Technique for High Speed SERDES Chips

Research on Self-biased PLL Technique for High Speed SERDES Chips 3rd International Conference on Machinery, Materials and Information Technology Applications (ICMMITA 2015) Research on Self-biased PLL Technique for High Speed SERDES Chips Meidong Lin a, Zhiping Wen

More information

AN increasing number of video and communication applications

AN increasing number of video and communication applications 1470 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 9, SEPTEMBER 1997 A Low-Power, High-Speed, Current-Feedback Op-Amp with a Novel Class AB High Current Output Stage Jim Bales Abstract A complementary

More information

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Marvin Onabajo Assistant Professor Analog and Mixed-Signal Integrated Circuits (AMSIC) Research Laboratory Dept.

More information

A 3 8 GHz Broadband Low Power Mixer

A 3 8 GHz Broadband Low Power Mixer PIERS ONLINE, VOL. 4, NO. 3, 8 361 A 3 8 GHz Broadband Low Power Mixer Chih-Hau Chen and Christina F. Jou Institute of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan Abstract

More information

4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator

4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator Progress In Electromagnetics Research C, Vol. 74, 31 40, 2017 4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator Muhammad Masood Sarfraz 1, 2, Yu Liu 1, 2, *, Farman Ullah 1, 2, Minghua Wang 1, 2, Zhiqiang

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

AN-742 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA Tel: 781/ Fax: 781/

AN-742 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA Tel: 781/ Fax: 781/ APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106 Tel: 781/329-4700 Fax: 781/461-3113 www.analog.com Frequency Domain Response of Switched-Capacitor ADCs by Rob Reeder INTRODUCTION

More information

Design and Performance Analysis of Low Power RF Operational Amplifier using CMOS and BiCMOS Technology

Design and Performance Analysis of Low Power RF Operational Amplifier using CMOS and BiCMOS Technology Proc. of Int. Conf. on Recent Trends in Information, Telecommunication and Computing, ITC Design and Performance Analysis of Low Power RF Operational Amplifier using CMOS and BiCMOS Technology A. Baishya

More information

TL494 Pulse - Width- Modulation Control Circuits

TL494 Pulse - Width- Modulation Control Circuits FEATURES Complete PWM Power Control Circuitry Uncommitted Outputs for 200 ma Sink or Source Current Output Control Selects Single-Ended or Push-Pull Operation Internal Circuitry Prohibits Double Pulse

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

6.776 High Speed Communication Circuits Lecture 7 High Freqeuncy, Broadband Amplifiers

6.776 High Speed Communication Circuits Lecture 7 High Freqeuncy, Broadband Amplifiers 6.776 High Speed Communication Circuits Lecture 7 High Freqeuncy, Broadband Amplifiers Massachusetts Institute of Technology February 24, 2005 Copyright 2005 by Hae-Seung Lee and Michael H. Perrott High

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

A COMPACT WIDEBAND MATCHING 0.18-µM CMOS UWB LOW-NOISE AMPLIFIER USING ACTIVE FEED- BACK TECHNIQUE

A COMPACT WIDEBAND MATCHING 0.18-µM CMOS UWB LOW-NOISE AMPLIFIER USING ACTIVE FEED- BACK TECHNIQUE Progress In Electromagnetics Research C, Vol. 16, 161 169, 2010 A COMPACT WIDEBAND MATCHING 0.18-µM CMOS UWB LOW-NOISE AMPLIFIER USING ACTIVE FEED- BACK TECHNIQUE J.-Y. Li, W.-J. Lin, and M.-P. Houng Department

More information

High Voltage Operational Amplifiers in SOI Technology

High Voltage Operational Amplifiers in SOI Technology High Voltage Operational Amplifiers in SOI Technology Kishore Penmetsa, Kenneth V. Noren, Herbert L. Hess and Kevin M. Buck Department of Electrical Engineering, University of Idaho Abstract This paper

More information