Design Of Synchronous Up-Down Counter Using CMOS 90nm Technology

Size: px
Start display at page:

Download "Design Of Synchronous Up-Down Counter Using CMOS 90nm Technology"

Transcription

1 Design Of Synchronous Up-Down Counter Using CMOS 90nm Technology G.Madhurya 1 T. Vijay Kumar 2 madhuryagajula@gmail.com1 vijaykumar4792@gmail.com2 1 PG Scholar, Dept of ECE, Dr. K. V. SUBBA REDDY INSTITUTE OF TECHNOLOGY, DUPADU, KALLUR, KURNOOL, ANDHRA PRADESH 2 Associate Professor, Dept of ECE, Dr. K. V. SUBBA REDDY INSTITUTE OF TECHNOLOGY, DUPADU, KALLUR, KURNOOL, ANDHRA PRADESH. Abstract: A counter is a digital sequential logic device that will go through a certain predefined states (for example counting up or down) based on the application of the input pulses. They are utilized in almost all computers and digital electronics systems [1]. The synchronous counter is one in which each flip-flop is clocked by the same clock source, thus eliminating the cumulative delay found in asynchronous counters. A synchronous counter, in contrast to an asynchronous counter, is one whose output bits change state simultaneously, with no ripple. The only way we can build such a counter circuit from J-K flipflops is to connect all the clock inputs together, so that each and every flip-flop receives the exact same clock pulse at the exact same time. The VLSI placement problem is to place the objects into a fixed die such that there are no overlaps among the objects and some cost metric such as wire length and routability is optimized. For this purpose we use new type of placement method called Noise aware placement. Using the above mentioned method we have designed synchronous up/down counter with the help of CMOS 90 nm technology. In this thesis the HPWL, TWL and are calculated for existing method and NA method. The values of these are implemented using DSCH & Micro-wind software tool. These parameters in NA method are shown better results than Existing method. I. INTRODUCTION Very-large-scale integration (VLSI) is the process of creating an integrated circuit (IC) by combining thousands of transistors into a single chip. VLSI began in the 1970s when complex semiconductor and communication technologies were being developed. Before the introduction of VLSI technology, most ICs had a limited set of functions they could perform. An electronic circuit might consist of a CPU, ROM, RAM and other glue logic. The first semiconductor chips held two transistors each. Subsequent advances added more transistors, and as a consequence, more individual functions were integrated over time. The first integrated circuits held only a few devices, perhaps as many as ten diodes, transistors, resistors and capacitors, making it possible to fabricate one or more logic gates on a single device. A digital circuit is often constructed from small electronic circuits called logic gates that can be used to create combinational logic. Each logic gate represents a function of boolean logic. A logic gate is an arrangement of electrically controlled switches, better known as transistors. Counting is a fundamental function of digital circuits. A digital counter consists of a collection of flip-flops that change state (set or reset) in a prescribed sequence. The primary function of a counter is to produce a specified output pattern sequence. A counter can play a vital role in several circuits ranging from a simple display to complex microcontroller circuits. Some of the apparent applications of a counter are: frequency divider in phase-locked loops, microcontrollers, digital memories and in digital clock and timing circuits. Counter is one of the simplest but essential building blocks in very large scale integration design. Counters are usually classified into synchronous counters, such as up counter, down counter,ring counters and twisted counters, and asynchronous counters, such as ripple counter, up counter down counter and Mod N counter. In synchronous counter a common clock is used which is connected to each flip flop while in asynchronous counter clock is connected to first flip-flop only and the output of one flip-flop derive the input of the next one. Synchronous counter

2 has many advantages over asynchronous counter. Asynchronous counter not useful at very high frequencies, especially for counter with large number of bits. Another problem caused by propagation delays in asynchronous counter occurs when we try to electronically detect (decode) the counter s output states. II. CONVENTIONAL SYSTEM SYNCHRONOUS COUNTERS This type of counters has each flip-flop clocked by the same clock source, thus eliminating the cumulative delay found in asynchronous counters. A synchronous counter, in contrast to an asynchronous counter, is one whose output bits change state simultaneously, with no ripple. The only way we can build such a counter circuit from J-K flip-flops is to connect all the clock inputs together, so that each and every flip-flop receives the exact same clock pulse at the exact same time: Let s examine the four-bit binary counting sequence again, and see if there are any other patterns that predict the toggling of a bit. Asynchronous counter circuit design is based on the fact that each bit toggle happens at the same time that the preceding bit toggles from a high to a low (from 1 to 0). Since we cannot clock the toggling of a bit based on the toggling of a previous bit in a synchronous counter circuit (to do so would create a ripple effect) we must find some other pattern in the counting sequence that can be used to trigger a bit toggle: Examining the four-bit binary count sequence, another predictive pattern can be seen. Notice that just before a bit toggles, all preceding bits are high: respective x and y coordinates of the center of the element v i, and a i be the area of the element v i. The VLSI circuit may contain some preplaced components, which have constant x and y coordinates and these are not changeable. The best locations for changing elements should be determined, therefore, the total wire length is reduced and no overlaps are developed between the elements. The placement region is divided into uniform non-overlapping bin (small region) sizes [1] [2] to equally distribute the elements. Then, the difficulty of global placement is considered as a forced minimization problem, which is given by equation (1.1). min W(x, y) Such that D b (x, y) M b, for each bin b, (1.1) where W(x,y) is the wire length of the circuit and D b (x, y) is the potential that is the total area of movable blocks in bin b, and M b is the maximum area of movable blocks in bin b. M b is computed by M b = t density (w b h b P b ), where t density is a required target density value for each bin, w b (h b ) is the width (height) of bin b and P b is the base potential that equals the preplaced block area in bin b. The wire length W(x,y) of the circuit is defined as the total half-perimeter wire length (HPWL) [112] and which is given by equation (1.2). W(x, y) ( x x +,, y yjǀ (1.2) where W(x,y) is the wire length in x and y directions. Similarly, the total wire length (TWL) for all the circuit in the bin is defined as follows: TWL = Half Perimeter Wire length (1 + density of components) This total wire length is also called as Density Half Perimeter wire length (DHPWL). The log-sum-exponential wire length model is also used [2] in this approach to calculate the wire length, which is given by equation (1.3). Fig1. Block diagram of synchronous counter. ANALYTICAL MODEL OF VLSI PLACEMENT The VLSI circuit Placement [10] [59] may be modeled by hyper graph H = (V, E). Let vertices V = {v 1, v 2,..., v n } represent elements and hyper edges E = {e 1, e 2,...,e m } represent wires. Let x i and y i be the γ (log e ( ) + log e ( ) + log e ( ) + log e ( )) (1.3) when γ 0, log-sum-exponential wire length gives a good estimation to the half perimeter wire length [2]. A quadratic technique [3] [17] is used for Equation (1.1), indicates that a sequence of free minimization problems

3 of the form as given by equation (1.4). min W(x, y) + λ (D (x, y) M ) (1.4) Instead of this equation, the λ is initialized based on the strength of wire length and gradient of number of components are given by equation (1.5). λ = (,) (,) (1.5) In this equation λ is overlap weight and this value will increase by two times for each iteration, w(x,y) is the wire length and D(x, y) density gradient. The existing core techniques in VLSI Placement are divided into following main approaches: Global Placement, Legalization, and Detailed Placement. The global placement equally distributes the blocks and arranges better location for each block to diminish wire length. Then all overlaps are removed between the blocks by legalization and make standard cells to locate into rows and further improve exact location for the standard cells by the detailed Placement. allotted to through the point of corner. The interconnection blockage at point of edge e is denoted as W e and is defined as the ratio of interconnecting demand on the edge to interconnecting sources W e = d e /R e (3.1) Where d e is interconnecting request on the edge e and R e is source of interconnection. Estimation of Noise In order to remove the crosstalk noise in the VLSI circuits, the noise must be approximate for the given resistor-capacitor circuit. To do this, there are so many techniques are presented to approximate the receiver noise. One of them is taken from the calculation of the magnitude noise and the noise duration of circuit and these are approximated from below equations: v = 1 exp ( ) (3.1) ) t = t + t l ( (3.2) ( ) Fig.2: (a) The Smoothing process of VLSI Placement (b) The base potential after Gaussian smoothing. (c) The base potential after level III. smoothing PROPOSED SYSTEM. Analysis of Routing Congestion The routing area of the chip is divided by m x n interconnection points with the help of global router. It allots each wire to connect on these points based on the Steiner tree. The result of this global router provides as a matrix representation of interconnecting source and interconnecting request at each edge of point. An interconnection source is nothing but the number of offered interconnecting tracks on a point of edge, and the interconnecting request is known as number of interconnecting tracks needed to interconnect wires Where t is the Elmore delay [85] of the coupling capacitance with closure source net, t is conversion time of the attacker wire, and t is the Elmore delay of the affected wire. Sometimes both noise magnitude and noise duration are collectively used to determine entire noise when circuit of receiver is fail. The noise magnitude and noise duration product (AW) in the receiver of the circuit can be written as: AW = (R + R )C (3.3) Where R is the resistance of the driver circuit, R s is the wire resistance of the source and C is the coupling capacitance in the circuit. This equation contains two specific factors to find out cross talk noise. The primary factor (R d + R s ) is complete resistance from the driver to the coupling position. It holds the amount of power a driver contains to get from maximum noise value to minimum constant value. The secondary factor C x is coupling capacitance of the circuit. MAPPPING OF CROSSTALK NOISE USING COUPLING CAPACITANCE Usually the crosstalk noise is interrelated with

4 coupling capacitance and which in turn linked with interconnection blockage. Naturally, VLSI circuit placement with minimum jamming shall have least value of noise. Hence, in the present placement, reduction of blockage on the hotspots may get better noise boundary in a VLSI Placement. The most packed regions are not those regions with the help of Amplitude-Width equation. Though coupling capacitance in hotspots is larger than other locations, the noise at each sink may depend on the wire and resistances of the driver circuit. The estimation of the exact value of coupling capacitance is not possible by using only wire at this stage, since numerous solutions are available for local routing problem. But it is necessary to approximate the standard value of coupling capacitance to all the nets in a global interconnecting point. Let us think that there is a relation between global interconnection blockage and the coupling capacitance, which is obtained from curve fitting. This concept may be used in any type of interconnection and VLSI design. Introduced a probabilistic model for all types of wire assignments in a point. Even though these models are giving adequate approximation of coupling capacitance from interconnection blockage and may not suitable to adjust in various global routers and CMOS technology. Due to this reason, the global router must be used to generate global blockage map W(x,y) at the initial stage. After the global router generates the blockage map, the local router should be operating and then extraction process will be used to generate coupling capacitance for every net section. The coupling capacitance on each segment of wire to global routing point may be calculated as given below: C (i, j) = C (i, j) N (i, j). (3.4) Where C n (i,j) is overall coupling capacitance among wire sections i and j, N w (i,j) is number of interconnecting points when i and j are overlapped, C g (i,j) is coupling capacitance dispersed to each one of those interconnecting points. Adding the coupling capacitance from all wires passing through the point, then the total coupling capacitance on each point can be calculated and which can be obtained as follows: C (x, y) = C (i, j), (,) (3.5) C t (x, y) is total coupling capacitance at point (x, y). E(x,y) is group or set of coupling points that cover point (x,y) and C g (i,j) is group coupling capacitance. The standard wire capacitance of a point C s (x,y) can be calculated with help of following equation: C (x, y) = C (x, y) N (x, y) (3.6) Where N g (x,y) is the total number of wire covering at point(x,y). By making use of blockage map W(x, y) and the standard wire coupling capacitance map C s (x, y), the relationship between blocking and coupling capacitance may be generated. With help of curve fitting, the following equation is used to approximate this relationship: C (w) = α1 e (3.7) Where C s (w) is the standard coupling capacitance for wires in a grid with blockage W, α and β are positive constants for each technology. From above equation, standard coupling capacitance for all wires on each point (x, y), when there is a blockage map is shown in below: C (x, y) = c W(x, y) (3.8) Initially, it is necessary to find out the areas of enhancement in blockage to create on noise, i.e., the noise amplitude-width product (AW) of all sinks. Since noise magnitude (amplitude) and noise duration (width) product depends on coupling capacitance, driver resistance and wire resistance, but coupling capacitance map only will give some data required for noise approximation. The coupling capacitance does not increase when blockage is high, so only using coupling capacitance to guide placement will not be appropriate. Therefore, a new method of noise map is used to achieve the overall noise data to help proper VLSI circuit placement. The noise of each point N(x,y) is defined as the total crosstalk within the point to the amplitudewidth of all nets. The N(x; y) may be calculated from the formula of noise amplitude-width product (AW) of wire i in a point. So that from Equation (3.3) AW(i) = R (i) + R (i)c (i) (3.9) Where R d (i) is the output resistance of the driver circuit of net i, R s (i) is wire resistance, C x (i) is the approximate coupling capacitance of net i, R s can be obtained as the product of unit wire resistance and the distance between the source and the current point as r w.. L. Using above equation, if net i is in point (x, y), the noise N(x, y) of

5 point (x, y) can be computed as follows: N(x, y) = (,) AW(i) (3.10) The above equation indicates that the noise of point (x, y) is equal to the sum of product of noise amplitudewidth product from all nets passing thorough the point (x, y). NOISE REDUCTION USING NAIP The incremental migration placement method should used subsequently to find out the noise areas by using noise map and which reduces the blockage of those areas for reduction of the coupling capacitance and noise. The condition for this is that the existing placement must not disturb too much to modify the timing characteristics. So, a two-step incremental placement improvement method is implemented to reduce the noise while protecting the global placement order. The primary step is to inflate the cells in the noise regions, which is similar to the placement density control methods. The main difference of these two methods is that the distributing the cells after the incremental placement process instead of distributing cells during the global placement. This is useful to maintain the relative order of placement. This step is called noise aware cell inflation method. The secondary step is used in a local refinement concept to improve the local jamming. This concept further improves the jamming in the noise region and this step is called local refinement. These two steps are described as follows. The blockage can be decreased by applying the whitespace in the blocked regions. This technique is also used for noise improvement as well. To utilize this concept properly, one can either add an extra cells into those regions or inflate cells in those regions. In general, most of the placement engines regularly divide the chip area into smaller bins in the form of bisect or quadrisect. This type of each bisection or quadricsection is referred as a cut. After that, the whitespace is applied between cuts and then it incrementally add whitespace to the existing placement, then rerun placement starting from a late cut to hotspots in order to remove overlaps. This method may help to protect the placement immovability in an existing placement and obtained exact assessment of wiring blockage and noise. The application of whitespace is started from existing placement and the cell inflation is used instead of an extra cell insertion to add whitespace into blockage areas. So, cells must be inflated based on the noise map and pin density. The total whitespace inserted into a bin is proportional to the noise of this bin over the average noise. A(x, y) (,) 1 A(x, y), if N(x, y) > N 0, if N(x, y) N (3.11) Where A(x,y) is the total cell area in bin (x,y), A(x, y) is the total cell inflation area in bin (x,y), and N avg i s the average noise across the chip. If the noise value is equal to or less than the average noise, no cell inflation is occurs. Within a bin, inflation of each cell is counted depends on its pin density. The pin density d(i) of a cell i is defined as the ratio of pin numbers k(i) to the cell area a(i) and which is shown in below equation: d(i) = k(i) a(i) (3.12) Then the inflation area α(i) for each cell i can be computed by the following equation: a(i) = d(i) k (x,y) d(k) A(x, y) (3.13) After the cell inflation, a new placement is started from N i cut. This placement will follow a mixed quadratic placement and partition flow. This placement step is necessary in an overlap removal procedure in order to remove the overlaps caused by inflated cells. The advantage of this method is that it can produce a legal placement while minimizing total wire length. It should be noted that by starting from a late cut, the global relative order of cells is kept unchanged as well. Conventional method. IV. Results Schematic of up-down counter

6 Layout of synchronous up-down Layout of synchronous updown Layout of synchronous updown counter of U/D counter Proposed System.(NA method). Synchronous U/D counter Timing diagram of synchronous U/D counter of synchronous U/D counter Comparision of HPWL,TWL and in NA method with Existing method. S.N O PARAMET ERS EXISTI NG METH OD NOISE AWAR E METH OD IMPROVE MENT 1 No.of cells No.of nets HPWL(µm ) 4 TWL(µm) (mw)

7 V.CONCLUSION We propose an ADDL design methodology for mitigation of DPA attacks on secure integrated chips. To consider the tradeoff in performance and power consumption, we designed and simulated two universal cells. The first design is a PADDL, which is optimized for very high operating frequencies. This design improves upon previously presented benchmarks by 76.41% for average power due to a reduced reliance of evaluation and discharge networks. The PADDL cell also improved upon the differential power of a conventional NAND gate by a factor of 112. The second design, BADDL, uses body biasing to improve the switching time and differential power. Our circuit improves the upon energy imbalance over previous work by an average of 76percent, which makes our circuit an effective mitigant of DPA attacks. The most significant tradeoff is operating frequency. The initial tradeoff in area is offset by using the reversible property of the dual-rail adiabatic circuit to allow for design reuse for both encryption and decryption, which is not physically possible in all the previous benchmarks. REFERENCES [1] N. O. Attoh-Okine and L. D. Shen, Security issues of emerging smart cards fare collection application in mass transit, in Proc. Veh. Navigat. Inf. Syst. Conf., Jul./Aug. 1995, pp [2] D. Agrawal, B. Archambeault, J. R. Rao, and P. Rohatgi, The EM side Channel(s), in Cryptographic Hardware and Embedded Systems. London, U.K.: Springer-Verlag, 2003, pp [3] P. C. Kocher, Timing attacks on implementations of Diffie-Hellman, RSA, DSS, and other systems, in Advances in Cryptology. London, U.K.: Springer- Verlag, Aug. 1996, pp [4] C. Clavier, J.-S. Coron, and N. Dabbous, Differential power analysis in the presence of hardware countermeasures, in Cryptographic Hardware and Embedded Systems. London, U.K.: Springer-Verlag, Aug. 2000, pp [5] P. Kocher, Differential power analysis, Advances in Cryptology (Lecture Notes in Computer Science), vol Berlin, Germany: Springer-Verlag, 1999, pp [6] L. N. Ramakrishnan, M. Chakkaravarthy, A. S. Manchanda, M. Borowczak, and R. Vemuri, SDMLp: On the use of complementary pass transistor logic for design of DPA resistant circuits, in Proc. IEEE Int. Symp. Hardw.-Oriented Security Trust (HOST), Jun. 2012, pp [7] C. H. Bennett, Logical reversibility of computation, IBM J. Res. Develop., vol. 17, no. 6, pp , [8] T. Toffoli, Reversible computing, Lab. Comput. Sci., Massachusetts Inst. Technol., Cambridge, MA, USA, Tech. Rep. TM-151, [9] E. Fredkin and T. Toffoli, Conservative Logic, Int. J. Theoretical Phys., vol. 21, no. 3, pp , [10] T. Hisakado, H. Iketo, and K. Okumura, Logically reversible arithmetic circuit using passtransistor, in Proc. ISCAS, vol. 2. May 2004, pp [11] N. Pramstaller, F. K. Gurkaynak, S. Haene, H. Kaeslin, N. Felber, and W. Fichtner, Towards an AES crypto-chip resistant to differential power analysis, in Proc. ESSCIRC, Sep. 2004, pp [12] Internation Standard Organization, document ISO- IEC [13] K. Tiri, M. Akmal, and I. Verbauwhede, A dynamic and differential CMOS logic with signal independent power consumption to withstand differential power analysis on smart cards, in Proc. ESSCIRC, Sep. 2002, pp [14] J. Daemen and V. Rijmen, Resistance against implementation attacks: A comparative study of the

8 AES proposals, in Proc. 2nd Adv. Encryption Standard (AES) Candidate Conf., Mar [15] S. Chari, C. S. Jutla, J. R. Rao, and P. Rohatgi, Towards sound approaches to counteract poweranalysis attacks, in Proc. 19th Annu. Int. Cryptol. Conf., vol. 1666, Aug. 1999, pp [16] T. S. Messerges, Using second-order power analysis to attack DPA resistant software, in Proc. CHES, vol. 1965, 2000, pp [17] J. Daemen, M. Peeters, and G. Van Assche, Bitslice ciphers and power analysis attacks, in Proc. 7th Int. Fast Softw. Encryption Workshop, Apr. 2000, pp [18] K. Tiri and I. Verbauwhede, A logic level design methodology for a secure DPA-resistant ASIC or FPGA implementation, in Proc. DATE, 2004, pp [19] V. Sundaresan, S. Rammohan, and R. Vemuri, invariant secure-ic design methodology using reduced complementary dynamic and differential logic, in Proc. IFIP Int. Conf. Very Large Scale Integr. (VLSI-SoC), Oct. 2007, pp [20] G. Paul, S. Pradhan, A. Pal, and B. Bhattacharya, Low power BDDbased synthesis using dual rail static DCVSPG logic, in Proc. APCCAS, Dec. 2006, pp [21] R. Feynman, Quantum mechanical computers, Found. Phys., vol. 16, no. 6, pp , Jun [22] W. C. Athas and L. J. Svensson, Reversible logic issues in adiabatic CMOS, in Proc. Workshop Phys. Comput., Nov 1994, pp [23] R. C. Merkle, Towards practical reversible logic, in Proc. Workshop Phys. Comput., Oct. 1992, pp

Design of Performance Adiabatic Dynamic Differential Logic (PADDL) for Secure Integrated Circuits

Design of Performance Adiabatic Dynamic Differential Logic (PADDL) for Secure Integrated Circuits Design of Performance Adiabatic Dynamic Differential Logic (PADDL) for Secure Integrated Circuits Venkata Lakshmi B M.Tech Abstract: In the modern world secure data transfer and privacy is becoming a major

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

SIDE-CHANNEL attacks exploit the leaked physical information

SIDE-CHANNEL attacks exploit the leaked physical information 546 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 7, JULY 2010 A Low Overhead DPA Countermeasure Circuit Based on Ring Oscillators Po-Chun Liu, Hsie-Chia Chang, Member, IEEE,

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Recommendations for Secure IC s and ASIC s

Recommendations for Secure IC s and ASIC s Recommendations for Secure IC s and ASIC s F. Mace, F.-X. Standaert, J.D. Legat, J.-J. Quisquater UCL Crypto Group, Microelectronics laboratory(dice), Universite Catholique de Louvain(UCL), Belgium email:

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Pre Layout And Post Layout Analysis Of Parallel Counter Architecture Based On State Look-Ahead Logic

Pre Layout And Post Layout Analysis Of Parallel Counter Architecture Based On State Look-Ahead Logic Pre Layout And Post Layout Analysis Of Parallel Counter Architecture Based On State Look-Ahead Logic Ulala N Ch Mouli Yadav, J.Samson Immanuel Abstract The main objective of this project presents designing

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Design Of Arthematic Logic Unit using GDI adder and multiplexer 1

Design Of Arthematic Logic Unit using GDI adder and multiplexer 1 Design Of Arthematic Logic Unit using GDI adder and multiplexer 1 M.Vishala, 2 Maddana, 1 PG Scholar, Dept of VLSI System Design, Geetanjali college of engineering & technology, 2 HOD Dept of ECE, Geetanjali

More information

Linear & Digital IC Applications (BRIDGE COURSE)

Linear & Digital IC Applications (BRIDGE COURSE) G. PULLAIAH COLLEGE OF ENGINEERING AND TECHNOLOGY Accredited by NAAC with A Grade of UGC, Approved by AICTE, New Delhi Permanently Affiliated to JNTUA, Ananthapuramu (Recognized by UGC under 2(f) and 12(B)

More information

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC Research Manuscript Title Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC K.K.Sree Janani, M.Balasubramani P.G. Scholar, VLSI Design, Assistant professor, Department of ECE,

More information

DPA Leakage Models for CMOS Logic Circuits

DPA Leakage Models for CMOS Logic Circuits CHES 25 in Edinburgh DPA Leakage Models for CMOS Logic Circuits Daisuke Suzuki Minoru Saeki Mitsubishi Electric Corporation, Information Technology R&D Center Tetsuya Ichikawa Mitsubishi Electric Engineering

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 1 PG student, Department of ECE, Vivekanandha College of Engineering for Women. 2 Assistant

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER 1 CH.JAYA PRAKASH, 2 P.HAREESH, 3 SK. FARISHMA 1&2 Assistant Professor, Dept. of ECE, 3 M.Tech-Student, Sir CR Reddy College

More information

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier M.Shiva Krushna M.Tech, VLSI Design, Holy Mary Institute of Technology And Science, Hyderabad, T.S,

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

Power Optimized Energy Efficient Hybrid Circuits Design by Using A Novel Adiabatic Techniques N.L.S.P.Sai Ram*, K.Rajasekhar**

Power Optimized Energy Efficient Hybrid Circuits Design by Using A Novel Adiabatic Techniques N.L.S.P.Sai Ram*, K.Rajasekhar** Power Optimized Energy Efficient Hybrid Circuits Design by Using A Novel Adiabatic Techniques N.L.S.P.Sai Ram*, K.Rajasekhar** *(Department of Electronics and Communication Engineering, ASR College of

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Chapter 3 Chip Planning

Chapter 3 Chip Planning Chapter 3 Chip Planning 3.1 Introduction to Floorplanning 3. Optimization Goals in Floorplanning 3.3 Terminology 3.4 Floorplan Representations 3.4.1 Floorplan to a Constraint-Graph Pair 3.4. Floorplan

More information

Efficient Reversible GVJ Gate as Half Adder & Full Adder and its Testing on Single Precision Floating Point Multiplier

Efficient Reversible GVJ Gate as Half Adder & Full Adder and its Testing on Single Precision Floating Point Multiplier Efficient Reversible GVJ Gate as Half Adder & Full Adder and its Testing on Single Precision Floating Point Multiplier Efficient Reversible GVJ Gate as Half Adder & Full Adder and its Testing on Single

More information

Contemplation of Synchronous Gray Code Counter and its Variants using Reversible Logic Gates

Contemplation of Synchronous Gray Code Counter and its Variants using Reversible Logic Gates Contemplation of Synchronous Gray Code Counter and its Variants using Reversible Logic Gates Rakshith Saligram Dept. of Electronics and Communication B M S College Of Engineering Bangalore, India rsaligram@gmail.com

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8,

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8, DESIGN OF SEQUENTIAL CIRCUITS USING MULTI-VALUED LOGIC BASED ON QDGFET Chetan T. Bulbule 1, S. S. Narkhede 2 Department of E&TC PICT Pune India chetanbulbule7@gmail.com 1, ssn_pict@yahoo.com 2 Abstract

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Associate In Applied Science In Electronics Engineering Technology Expiration Date:

Associate In Applied Science In Electronics Engineering Technology Expiration Date: PROGRESS RECORD Study your lessons in the order listed below. Associate In Applied Science In Electronics Engineering Technology Expiration Date: 1 2330A Current and Voltage 2 2330B Controlling Current

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture- 05 VLSI Physical Design Automation (Part 1) Hello welcome

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Gdi Technique Based Carry Look Ahead Adder Design

Gdi Technique Based Carry Look Ahead Adder Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Gdi Technique Based Carry Look Ahead Adder Design

More information

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications International Journal of Engineering Inventions e-issn: 2278-7461, p-issn: 2319-6491 Volume 3, Issue 11 (June 2014) PP: 1-7 Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power

More information

Review and Analysis of Glitch Reduction for Low Power VLSI Circuits

Review and Analysis of Glitch Reduction for Low Power VLSI Circuits Review and Analysis of Glitch Reduction for Low Power VLSI Circuits Somashekhar Malipatil 1 1 Assistant Professor Department of Electronics & Communication Engineering Nalla Malla Reddy Engineering College,

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics Sr. No. Date TITLE To From Marks Sign 1 To verify the application of op-amp as an Inverting Amplifier 2 To

More information

Design and Implementation of Sequential Counters Using Reversible Logic Gates with Mach-Zehnder Interferometer

Design and Implementation of Sequential Counters Using Reversible Logic Gates with Mach-Zehnder Interferometer Design and Implementation of Sequential Counters Using Reversible Logic Gates with Mach-Zehnder Interferometer A.Rudramadevi M.Tech(ES & VLSI Design), Nalgonda Institute of Technology and Science. P.Lachi

More information

Optimization of energy consumption in a NOC link by using novel data encoding technique

Optimization of energy consumption in a NOC link by using novel data encoding technique Optimization of energy consumption in a NOC link by using novel data encoding technique Asha J. 1, Rohith P. 1M.Tech, VLSI design and embedded system, RIT, Hassan, Karnataka, India Assistent professor,

More information

ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL

ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL AIMS The general aims of the subject are : 1. to foster an interest in and an enjoyment of electronics as a practical and intellectual discipline; 2. to develop

More information

DAV Institute of Engineering & Technology Department of ECE. Course Outcomes

DAV Institute of Engineering & Technology Department of ECE. Course Outcomes DAV Institute of Engineering & Technology Department of ECE Course Outcomes Upon successful completion of this course, the student will intend to apply the various outcome as:: BTEC-301, Analog Devices

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com ISSN (ONLINE): 2395-695X POWER DELAY PRODUCT AND AREA REDUCTION OF

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

Design of a High Throughput 128-bit AES (Rijndael Block Cipher)

Design of a High Throughput 128-bit AES (Rijndael Block Cipher) Design of a High Throughput 128-bit AES (Rijndael Block Cipher Tanzilur Rahman, Shengyi Pan, Qi Zhang Abstract In this paper a hardware implementation of a high throughput 128- bits Advanced Encryption

More information

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28 Subject Code: 17333 Model Answer P a g e 1/28 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System

An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System V Satya Deepthi 1, SnehaSuprakash 2, USBK MahaLakshmi 3 1 M.Tech student, 2 Assistant Professor, 3 Assistant

More information

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 19, Number 3, 2016, 199 212 Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics Saurabh

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Power Analysis Attacks on SASEBO January 6, 2010

Power Analysis Attacks on SASEBO January 6, 2010 Power Analysis Attacks on SASEBO January 6, 2010 Research Center for Information Security, National Institute of Advanced Industrial Science and Technology Table of Contents Page 1. OVERVIEW... 1 2. POWER

More information

COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC

COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC V.Reethika Rao (1), Dr.K.Ragini (2) PG Scholar, Dept of ECE, G. Narayanamma Institute of Technology and Science,

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

Ultra Low Power Consumption Military Communication Systems

Ultra Low Power Consumption Military Communication Systems Ultra Low Power Consumption Military Communication Systems Sagara Pandu Assistant Professor, Department of ECE, Gayatri College of Engineering Visakhapatnam-530048. ABSTRACT New military communications

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information

All-digital ramp waveform generator for two-step single-slope ADC

All-digital ramp waveform generator for two-step single-slope ADC All-digital ramp waveform generator for two-step single-slope ADC Tetsuya Iizuka a) and Kunihiro Asada VLSI Design and Education Center (VDEC), University of Tokyo 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-0032,

More information

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

Energy Efficient and High Speed Charge-Pump Phase Locked Loop Energy Efficient and High Speed Charge-Pump Phase Locked Loop Sherin Mary Enosh M.Tech Student, Dept of Electronics and Communication, St. Joseph's College of Engineering and Technology, Palai, India.

More information

Design of Adaptive Triggered Flip Flop Design based on a Signal Feed-Through Scheme

Design of Adaptive Triggered Flip Flop Design based on a Signal Feed-Through Scheme Design of Adaptive Triggered Flip Flop Design based on a Signal Feed-Through Scheme *K.Lavanya & **T.Shirisha *M.TECH, Dept. ofece, SAHASRA COLLEGE OF ENGINEERING FOR WOMEN Warangal **Asst.Prof Dept. of

More information

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic A.Kishore Kumar 1 Dr.D.Somasundareswari 2 Dr.V.Duraisamy 3 M.Pradeepkumar 4 1 Lecturer-Department of ECE, 3

More information

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design 1 B. Dilli Kumar, 2 A. Chandra Babu, 2 V. Prasad 1 Assistant Professor, Dept. of ECE, Yoganada Institute of Technology & Science,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

DESIGN OF RING OSCILLATOR USING CS-CMOS FOR MIXED SIGNAL SOCS

DESIGN OF RING OSCILLATOR USING CS-CMOS FOR MIXED SIGNAL SOCS International Journal of Electrical and Electronics Engineering (IJEEE) ISSN 2278-9944 Vol. 2, Issue 2, May 2013, 21-26 IASET DESIGN OF RING OSCILLATOR USING CS-CMOS FOR MIXED SIGNAL SOCS VINOD KUMAR &

More information

Implementation of Reversible Arithmetic and Logic Unit (ALU)

Implementation of Reversible Arithmetic and Logic Unit (ALU) Implementation of Reversible Arithmetic and Logic Unit (ALU) G.Vimala Student, Department of Electronics and Communication Engineering, Dr K V Subba Reddy Institute of Technology, Dupadu, Kurnool,AP, India.

More information

ISSN:

ISSN: 1391 DESIGN OF 9 BIT SAR ADC USING HIGH SPEED AND HIGH RESOLUTION OPEN LOOP CMOS COMPARATOR IN 180NM TECHNOLOGY WITH R-2R DAC TOPOLOGY AKHIL A 1, SUNIL JACOB 2 1 M.Tech Student, 2 Associate Professor,

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

A Multiplexer-Based Digital Passive Linear Counter (PLINCO)

A Multiplexer-Based Digital Passive Linear Counter (PLINCO) A Multiplexer-Based Digital Passive Linear Counter (PLINCO) Skyler Weaver, Benjamin Hershberg, Pavan Kumar Hanumolu, and Un-Ku Moon School of EECS, Oregon State University, 48 Kelley Engineering Center,

More information

A Very Fast and Low- power Time- discrete Spread- spectrum Signal Generator

A Very Fast and Low- power Time- discrete Spread- spectrum Signal Generator A. Cabrini, A. Carbonini, I. Galdi, F. Maloberti: "A ery Fast and Low-power Time-discrete Spread-spectrum Signal Generator"; IEEE Northeast Workshop on Circuits and Systems, NEWCAS 007, Montreal, 5-8 August

More information

An Efficient Method for Implementation of Convolution

An Efficient Method for Implementation of Convolution IAAST ONLINE ISSN 2277-1565 PRINT ISSN 0976-4828 CODEN: IAASCA International Archive of Applied Sciences and Technology IAAST; Vol 4 [2] June 2013: 62-69 2013 Society of Education, India [ISO9001: 2008

More information

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure Vol. 2, Issue. 6, Nov.-Dec. 2012 pp-4736-4742 ISSN: 2249-6645 Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure R. Devarani, 1 Mr. C.S.

More information

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719 Vol. 3, Issue 6 (June. 2013), V1 PP 14-21 Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for

More information

Basic Logic Circuits

Basic Logic Circuits Basic Logic Circuits Required knowledge Measurement of static characteristics of nonlinear circuits. Measurement of current consumption. Measurement of dynamic properties of electrical circuits. Definitions

More information

Design and Implementation of combinational circuits in different low power logic styles

Design and Implementation of combinational circuits in different low power logic styles IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 01-05 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating

An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating Dr. D. Mahesh Kumar Assistant Professor in Electronics, PSG College of Arts & Science, Coimbatore 14, Tamil Nadu, India. Abstract

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

Performance Analysis of Different Adiabatic Logic Families

Performance Analysis of Different Adiabatic Logic Families Performance Analysis of Different Adiabatic Logic Families 1 Anitha.K, 2 Dr.Meena Srinivasan 1 PG Scholar, 2 Associate Professor Electronics and Communication Engineering Government College of Technology,

More information

Efficient Implementation of Combinational Circuits Using PTL

Efficient Implementation of Combinational Circuits Using PTL Efficient Implementation of Combinational Circuits Using PTL S. Kiruthiga, Assistant Professor, Sri Krishna College of Technology. S. Vaishnavi, Assistant Professor, Sri Krishna College of Technology.

More information