Lab 2: Designing a Low Pass Filter

Size: px
Start display at page:

Download "Lab 2: Designing a Low Pass Filter"

Transcription

1 Lab 2: Designing a Low Pass Filter In this lab we will be using a low pass filter to filter the signal from an Infra Red (IR) sensor. The IR sensor will be connected to the Arduino and Matlab will be used to view and filter the signal. We use Matlab because it allows us to graph the data. This is very useful for optimizing the filter coefficients and for determining whether the filter is working properly. For your final project, you will likely not be using Matlab. However, using Matlab filters to optimize your Arduino filters during the design stage could be very helpful as you can see and compare the output easily. The purpose of a filter is to remove some unwanted component from a signal. In this lab, it will be used to remove high frequency noise from the IR signal we wish to analyze. The cut- off frequency is the boundary in a filter's response. Any frequencies outside the cut- off frequency range will be attenuated. Ideally the filter would completely eliminate any frequencies outside the cut- off frequency range. However, in reality, the attenuation depends on the order of the filter. Higher order filters attenuate the unwanted frequencies more effectively, but increase the lag (phase delay) between the input and output. The figure below shows various orders of low pass filters (order 1 to 5). Notice that the steepest attenuation is associated with the highest order filter. Figure 1- Low Pass Filters of various Orders You can either have an analog filter or a digital filter. As you might expect, analog filters are used for analog signals (continuous waveforms) and digital filters are used for digital (discrete) signals.

2 Analog filters are created from hardware components such as resistors, capacitors and inductors whereas digital filters are software based. You are likely more familiar with analog filters because of your Controls class. The steps for designing a digital filter are very similar to those for an analog filter. First, the desired filter response must be characterised and then the parameters calculated. For analog filters the parameters calculated are the resistor, capacitor and inductor values required to build the filter. For digital filters the parameters calculated are the coefficients used in the software implementation of the filter. Classes of Digital Filters Digital filters can be classified as follows: (a) Linear filters versus nonlinear filters. (b) Time- invariant filters versus time- varying filters. (c) Adaptive filters versus non- adaptive filters. (d) Recursive versus non- recursive filters. (e) Direct- form, cascade- form, parallel- form and lattice structures In this lab we will be using a recursive, linear time invariant (LTI) filter. This is a filter whose output is a linear combination of the input signals and the previous output signals. The filter coefficients do not vary with time. The formula below describes the most basic time domain input- output relationship for a first order, LTI, recursive filter. y(i)=α* y(i 1) + x(i) Where x(i) is the filter input (raw data), y(i) is the filter output(filtered signal), α is the filter coefficient, i is the current time step and y(i- 1) is the previous filter output. Characterising the Filter There are many methods used for characterizing a filter, but the most commonly used is the transfer function. Transfer functions are usually used to describe single- input single- output filters. The input signal goes through the transfer function and is converted into the desired output signal. See below. Input x(t), X(z) H(z) Output y(t), Y(z)

3 x(t) and y(t), usually denoted with lowercase x and y, are the time domain signals and X(z) and Y(z), usually denoted with uppercase X and Y, are the frequency domain signals. To define the transfer function, you must first convert the time domain signals into frequency domain using the Z- transform. The Z- transform is the equivalent of the Laplace Transform, but for digital instead of analog signals. Once the relationship between the input and output signals is defined in frequency domain, the transfer function, H(z), is simply the output, Y(z), over the input, X(z). The transfer function for a linear, time invariant, digital filter is defined as follows. H z = Y X = B(z) A(z) = b + + b - z.- + b 0 z b 2 z a - z.- + a 0 z a 5 z.5 Where H(z) is the transfer function in frequency domain (z) and the parameters to be determined are B(z) and A(z). B is a 1xN matrix of the numerator coefficients and A is a 1xM matrix of the denominator coefficients. So B = [b 0 b 1 b 2... b N ] and A = [ 1 a 1 a 2... a M ]. For the 1st order filter above the transfer function is as follows. This was derived using the Z- transform. 1 H(z) = 1 + αz.- Low Pass Filter The type of filter you require depends on which frequencies you want to attenuate. For example, a low pass filter passes low frequencies and attenuates the high frequencies (frequencies higher than the cutoff frequency). The time domain representation of a low pass, LTI, first order, recursive filter can be seen below: y 8 = αx 8 + (1 α)y 8.- Where x is the unfiltered data, y is the filtered data and α is the filter (smoothing) coefficient. The smoothing coefficient can be modified depending on the desired system response. For an in- depth explanation of low pass filters and the derivation of this equation, visit the low pass filter Wikipedia page: pass_filter Once the time domain equation is known, the Z- transform is used to get the equation in frequency domain so the transfer function can be determined. The Z- transformation is shown below.

4 y 8 = αx α y 8.- y 8 1 α y 8.- = αx 8 Y 1 α Y(z)z.- = αx Y 1 z.- + αz.- = αx Y X = α 1 z.- + αz.- The Transfer function can be written as: Therefore, the B and A matrices are: H z = B z A z = α 1 + (α 1)z.- B = α A = [ 1 (α- 1)] Just a few notes on how to do the Z- transformation (in case you were interested): constants stay the same y i = y(z) x i = x(z) y i- 1 = y(z)*z - 1 y i- 2 = y(z)*z Since digital filters are implemented in code, there are various ways you can program them. One is to use the filter functions built into Matlab. These functions require inputs of either the sampling frequency or the coefficients, A and B. Although you will likely not be using Matlab for your final project, it may be useful to use the Matlab filters to compare and optimize your Arduino filtering. This is why we will go over using the filter functions in Matlab Another way to implement the filter in code, is simply to use the time domain formula. This is the simplest way and is good for programming languages where there are no filter functions built in, like the Arduino. Time Domain Formula in Software In order to implement the low pass, LTI, first order filter in the code, you simply use the time domain function for the low pass filter from above. The formula below is the implementation of this filter in Matlab code. y(i) = a*x(i)+(1-a)*y(i-1);

5 Where y is an array of the filtered values and x is an array of the raw data. y(i) is the filtered data output and y(i- 1) is the previous filtered data output value. We will now use this formula to filter the input from an IR sensor. The Arduino code in Appendix A will read the IR sensor values and output the raw values to Matlab. Once we have the raw values we will filter and plot them in Matlab. The sample code to do this filtering is shown in Appendix B. This function reads the IR output from the Arduino and plots the raw and filtered data with respect to time. The time array is created using the 'tic' and 'toc' functions in Matlab. If a more precise counter is required, it should be done on the Arduino. The plots below show the un- filtered and filtered IR data for α =0.1 and α=0.01. Note that the smaller the α value, the smoother the data, but the larger the phase delay. Figure 2- Filtered Voltage(red) and Unfiltered voltage(blue) from IR sensor with alpha = 0.1

6 Figure 3- Filtered Voltage(red) and Unfiltered Voltage(blue) from IR sensor with alpha = 0.01 Notice that a value of α = 0.01, causes too much filtering and the output curve is excessively smoothed. You will need to find the optimal combination between eliminating noise, but still having a function that responds quickly to changes. This is done by trial and error. This is the most basic implementation of the low pass filter and is very useful if you do not have any built in filtering functions. However, Matlab does have built in filter functions. These functions require the coefficients (A and B), that were calculated above, as inputs to define the type of filter. We will only look at the filtfilt function in this lab, but there are lots of other types you can use. Matlab FiltFilt function If you notice, the filtered voltage above is shifted from the original voltage in both of the above graphs. This is due to the time required to process the filtering equation on the fly. In order to avoid this shift we can use the filtfilt function in matlab. The filtfilt function performs zero- phase shift digital filtering by processing the data in both the forward and reverse direction. However, since filtfilt needs to process the data both backwards and forwards, it needs to know all the data, meaning it cannot be used on the fly. You must first create an array of all the collected data, raw values, and then filter that array instead

7 of using the function on every data point individually as we did in the previous example. To learn more about the filtfilt function, type "help filtfilt" into the Matlab command line. The following line shows how to properly call the filtfilt function. Y = filtfilt(b,a,x); Where X and Y are the unfiltered and filtered data arrays respectively and B and A are the transfer function coefficients calculated above. The Matlab code below uses the filtfilt function to filter the IR data from above. %This function using filtfilt to low pass filter data(an array of values from the IR sensor). It then plots the filtered and unfiltered data. function IR_SENSOR_FILTFILT(obj) tic; i= 1; while toc < 10 time(i) = toc; voltage(i) = (obj.ard.analogread(2))*(5/1024); i = i+1; end a = 0.1; %sets the value of alpha filtered_data = filtfilt(a,[1 a-1],voltage); %uses filtfilt to filter the voltage data figure; plot(time,voltage,'b'); hold on; plot(time,filtered_data,'r'); xlabel('time'); ylabel('voltage'); end See the plot below for the output of the unfiltered (blue) and filtered data (red), found using the filtfilt function.

8 Figure 4- FiltFilt Filtered and Unfiltered voltage plot with alpha of 0.1 Note that in the plot, the filtered voltage is not offset from the original. Sometimes, depending on the type of filter, the offset will be linear so a formula can be used to shift all the data backwards. Unfortunatley, this is not the case for the simple low pass filter formula we used. This is why the zero phase shift of the filtfilt function is so useful however, since it can only be used after all the signal data has been read, it would not be useful for a balancing robot application. In order to balance, the signal needs to be read and filtered in real time.

9 Butterworth The Butterworth filter is another type of linear, time invariant filter. However, it will have different B and A transform function coefficients than the basic LTI, low pass filter. There is a Butterworth filter function builder in Matlab so it is very easy to use. If you want to implement a Butterworth filter in the Arduino you should first use Matlab to determine the A and B coefficients. In order to determine these coefficients we use the 'butter' function in Matlab. Using butter Function to Find the Coefficients: The butter function will create a butterworth filter and give us the coefficients (B and A). The syntax to call the function is shown below. [B, A] = butter(n,wn,'low') Where B and A are transfer function coefficients, N is the order of Butterworth filter you wish to design (remember the higher the order the more accurate, but the more lag)and Wn is the normalized cut- off frequency. By default, the Butterworth filter is a low pass filter i.e. butter(n,wn) will design a low pass filter, but you can design all types of filters (see help butter in Matlab for more details). The cut- off frequency for a digital filter can be given by the following formula: f = = 1 2π T 1 α α This formula comes from substituting the RC formula for digital filters into the cut- off frequency formula for analog filters(see Wikipedia low pass filter page). T is the sampling period. For operations in Matlab, you can calculate an approximate value of T by creating a time array using the 'tic' and 'toc' functions. The sampling period is then the maximum value in the time array and divided by the number of entries. This is not the most accurate method since 'tic' and 'toc' are just counters, but provided you don't do too many time intensive processes (graphing, printing, complex math etc) in between the counting you should get a good result. The use of tic and toc are shown in in the IR_SENSOR_FILTFILT function above. The normalized cut- off frequency is then: W C = f = 2f D Where f s is the sampling frequency (1/sampling period). If you are using the Arduino, you could set a timer interrupt and sample everytime the interrrupt goes off. That way you know your exact sampling frequency.

10 Once we have the coefficients, we can implement the filter. We will go over how to implement it in both Matlab and Arduino. Matlab Butterworth Filter Implementation To implement the filter in Matlab, we will use the filtfilt function due to the zero phase shift, but you could also use Y = filter(b,a,x). The following function outlines how to create and use a butterworth filter in Matlab to filter the raw IR data. function [B,A] = BUTTERWORTH(obj,N) T = max(time)/length(time); %Calculates Sampling Period fc = 1/(2*pi()*T*(1-a)/a); %Calculates the cutoff frequency Wn = fc/(2*1/t); %Normalized Cutoff frequency(0 < Wn < 1) [B,A] = butter(n,wn,'low'); %Generates coefficients of lowpass Butterworth filter, order N Butterworth = filtfilt(b,a,ir); %IR is the array of raw data figure; %Creates a new figure plot(time,ir,'r'); hold on plot(time,butterworth,'b')%butterworth = array of filtered values end The plot below shows the filtered and unfiltered data when 'butter' is used to determine the B and A matrices.

11 Figure 5- Filtered and Unfiltered Voltage plots using Butterworth filter design and alpha of 0.1 Arduino Butterworth Filter Implementation To implement this filter on the Arduino you will need to manually write out the equation using the coefficients. Recall from above that the transfer function in frequency domain can be defined as follows: Y X = b + + b - z.- + b 0 z b 2 z a - z.- + a 0 z a 5 z.5 Assume that the B and A matrices for the low pass, LTI, first order, recursive filter, defined above, are as follows: B = [ b 0 b 1 ] and A = [ 1 a 1 ] The Butterworth filter can be determined using the following relation.

12 Ay = Bx Plugging in the matrices and solving for Y gives the following. Remember this example is for a 1st order Butterworth. y 8 = b + x 8 + b - x 8.- a - y 8.- If you want a higher order, you will get larger matrices. A second order Butterworth filter would yield 1x3 matrices and would give you the following equation: Note: y*a 1 yields y i- 1 and y*a 2 would yield y i- 2 etc. y 8 = b + x 8 + b - x b 0 x 8.0 a - y 8.- a 0 y 8.0 Since we used the butter() function in Matlab to determine the A and B coefficients, we are implementing a Butterworth filter. If you would like to use another type of filter, you can use a different function in Matlab to determine the coefficients. For example, to obtain the A and B coefficients for a Chebyshev filter you could use the chebyl function in Matlab. Lab Requirements This lab showed a few different ways to do filtering. For this lab you will need to do the following: Connect an IR sensor to the Arduino Read the raw IR data and output it to Matlab Choose three filtering methods from above and use them on the IR data Create one graph per filtering method showing the raw and filtered data as well as what filtering coefficient was used You do not need to implement the butter filter on the Arduino for this lab, but it may be useful for your final project so you may want to try it. If you choose to use it as one of your three filtering methods, you will need to import the filtered data and graph it in Matlab. Remember the filtfilt function is not defined on the Arduino so it can only be used in Matlab. Also for your project you will want to measure and evaluate the data in real time so the filtfilt function wouldn't really be useful anyway. A write- up is not required for this lab.

13 Appendix A

14 Appendix B clc; clear all; numsec=10; IR=[]; IR_filt = []; a = 0.5; %smoothing coefficient (to be optimized) s1 = serial('com8'); % define serial port s1.baudrate=9600; % define baud rate set(s1, 'terminator', 'LF'); % define the terminator for println fopen(s1); try % use try catch to ensure fclose % signal the arduino to start collection w=fscanf(s1,'%s'); % must define the input % d or %s, etc. if (w=='a') display(['collecting data']); fprintf(s1,'%s\n','a'); % establishcontact just wants % something in the buffer end i=0; t0=tic; while (toc(t0)<=numsec) i=i+1; t(i) = toc(t0); t(i) = t(i)-t(1); IR(i)=fscanf(s1,'%f'); % must define the input % d, %f, %s, etc. if(i==1) IR_filt(i) = IR(i); else IR_filt(i) = a*ir(i)+(1-a)*ir_filt(i-1); end plot(t,ir); %plots the raw IR output hold on; plot(t,ir_filt,'r'); %plots the filtered IR output drawnow; end fclose(s1); catch exception fclose(s1); throw (exception); end % always, always want to close s1

Filters. Phani Chavali

Filters. Phani Chavali Filters Phani Chavali Filters Filtering is the most common signal processing procedure. Used as echo cancellers, equalizers, front end processing in RF receivers Used for modifying input signals by passing

More information

Brief Introduction to Signals & Systems. Phani Chavali

Brief Introduction to Signals & Systems. Phani Chavali Brief Introduction to Signals & Systems Phani Chavali Outline Signals & Systems Continuous and discrete time signals Properties of Systems Input- Output relation : Convolution Frequency domain representation

More information

ECE 203 LAB 2 PRACTICAL FILTER DESIGN & IMPLEMENTATION

ECE 203 LAB 2 PRACTICAL FILTER DESIGN & IMPLEMENTATION Version 1. 1 of 7 ECE 03 LAB PRACTICAL FILTER DESIGN & IMPLEMENTATION BEFORE YOU BEGIN PREREQUISITE LABS ECE 01 Labs ECE 0 Advanced MATLAB ECE 03 MATLAB Signals & Systems EXPECTED KNOWLEDGE Understanding

More information

LECTURER NOTE SMJE3163 DSP

LECTURER NOTE SMJE3163 DSP LECTURER NOTE SMJE363 DSP (04/05-) ------------------------------------------------------------------------- Week3 IIR Filter Design -------------------------------------------------------------------------

More information

SMS045 - DSP Systems in Practice. Lab 1 - Filter Design and Evaluation in MATLAB Due date: Thursday Nov 13, 2003

SMS045 - DSP Systems in Practice. Lab 1 - Filter Design and Evaluation in MATLAB Due date: Thursday Nov 13, 2003 SMS045 - DSP Systems in Practice Lab 1 - Filter Design and Evaluation in MATLAB Due date: Thursday Nov 13, 2003 Lab Purpose This lab will introduce MATLAB as a tool for designing and evaluating digital

More information

Subtractive Synthesis. Describing a Filter. Filters. CMPT 468: Subtractive Synthesis

Subtractive Synthesis. Describing a Filter. Filters. CMPT 468: Subtractive Synthesis Subtractive Synthesis CMPT 468: Subtractive Synthesis Tamara Smyth, tamaras@cs.sfu.ca School of Computing Science, Simon Fraser University November, 23 Additive synthesis involves building the sound by

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY DIGITAL SIGNAL PROCESSING UNIT 3

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY DIGITAL SIGNAL PROCESSING UNIT 3 NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF INFORMATION TECHNOLOGY DIGITAL SIGNAL PROCESSING UNIT 3 IIR FILTER DESIGN Structure of IIR System design of Discrete time

More information

Lab 4 An FPGA Based Digital System Design ReadMeFirst

Lab 4 An FPGA Based Digital System Design ReadMeFirst Lab 4 An FPGA Based Digital System Design ReadMeFirst Lab Summary This Lab introduces a number of Matlab functions used to design and test a lowpass IIR filter. As you have seen in the previous lab, Simulink

More information

Designing Filters Using the NI LabVIEW Digital Filter Design Toolkit

Designing Filters Using the NI LabVIEW Digital Filter Design Toolkit Application Note 097 Designing Filters Using the NI LabVIEW Digital Filter Design Toolkit Introduction The importance of digital filters is well established. Digital filters, and more generally digital

More information

DSP First Lab 08: Frequency Response: Bandpass and Nulling Filters

DSP First Lab 08: Frequency Response: Bandpass and Nulling Filters DSP First Lab 08: Frequency Response: Bandpass and Nulling Filters Pre-Lab and Warm-Up: You should read at least the Pre-Lab and Warm-up sections of this lab assignment and go over all exercises in the

More information

Lab 4 Digital Scope and Spectrum Analyzer

Lab 4 Digital Scope and Spectrum Analyzer Lab 4 Digital Scope and Spectrum Analyzer Page 4.1 Lab 4 Digital Scope and Spectrum Analyzer Goals Review Starter files Interface a microphone and record sounds, Design and implement an analog HPF, LPF

More information

Design IIR Filters Using Cascaded Biquads

Design IIR Filters Using Cascaded Biquads Design IIR Filters Using Cascaded Biquads This article shows how to implement a Butterworth IIR lowpass filter as a cascade of second-order IIR filters, or biquads. We ll derive how to calculate the coefficients

More information

Discretization of Continuous Controllers

Discretization of Continuous Controllers Discretization of Continuous Controllers Thao Dang VERIMAG, CNRS (France) Discretization of Continuous Controllers One way to design a computer-controlled control system is to make a continuous-time design

More information

ELEC-C5230 Digitaalisen signaalinkäsittelyn perusteet

ELEC-C5230 Digitaalisen signaalinkäsittelyn perusteet ELEC-C5230 Digitaalisen signaalinkäsittelyn perusteet Lecture 10: Summary Taneli Riihonen 16.05.2016 Lecture 10 in Course Book Sanjit K. Mitra, Digital Signal Processing: A Computer-Based Approach, 4th

More information

GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL and COMPUTER ENGINEERING. ECE 2025 Fall 1999 Lab #7: Frequency Response & Bandpass Filters

GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL and COMPUTER ENGINEERING. ECE 2025 Fall 1999 Lab #7: Frequency Response & Bandpass Filters GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL and COMPUTER ENGINEERING ECE 2025 Fall 1999 Lab #7: Frequency Response & Bandpass Filters Date: 12 18 Oct 1999 This is the official Lab #7 description;

More information

Signal Processing for Speech Applications - Part 2-1. Signal Processing For Speech Applications - Part 2

Signal Processing for Speech Applications - Part 2-1. Signal Processing For Speech Applications - Part 2 Signal Processing for Speech Applications - Part 2-1 Signal Processing For Speech Applications - Part 2 May 14, 2013 Signal Processing for Speech Applications - Part 2-2 References Huang et al., Chapter

More information

ME411 Engineering Measurement & Instrumentation. Winter 2017 Lecture 3

ME411 Engineering Measurement & Instrumentation. Winter 2017 Lecture 3 ME411 Engineering Measurement & Instrumentation Winter 2017 Lecture 3 1 Current Measurement DC or AC current Use of a D Arsonval Meter - electric current carrying conductor passing through a magnetic field

More information

Lecture 17 z-transforms 2

Lecture 17 z-transforms 2 Lecture 17 z-transforms 2 Fundamentals of Digital Signal Processing Spring, 2012 Wei-Ta Chu 2012/5/3 1 Factoring z-polynomials We can also factor z-transform polynomials to break down a large system into

More information

George Mason University ECE 201: Introduction to Signal Analysis Spring 2017

George Mason University ECE 201: Introduction to Signal Analysis Spring 2017 Assigned: March 7, 017 Due Date: Week of April 10, 017 George Mason University ECE 01: Introduction to Signal Analysis Spring 017 Laboratory Project #7 Due Date Your lab report must be submitted on blackboard

More information

Low Pass Filter Introduction

Low Pass Filter Introduction Low Pass Filter Introduction Basically, an electrical filter is a circuit that can be designed to modify, reshape or reject all unwanted frequencies of an electrical signal and accept or pass only those

More information

EE 422G - Signals and Systems Laboratory

EE 422G - Signals and Systems Laboratory EE 422G - Signals and Systems Laboratory Lab 3 FIR Filters Written by Kevin D. Donohue Department of Electrical and Computer Engineering University of Kentucky Lexington, KY 40506 September 19, 2015 Objectives:

More information

Lab 1: First Order CT Systems, Blockdiagrams, Introduction

Lab 1: First Order CT Systems, Blockdiagrams, Introduction ECEN 3300 Linear Systems Spring 2010 1-18-10 P. Mathys Lab 1: First Order CT Systems, Blockdiagrams, Introduction to Simulink 1 Introduction Many continuous time (CT) systems of practical interest can

More information

Department of Electrical & Computer Engineering Technology. EET 3086C Circuit Analysis Laboratory Experiments. Masood Ejaz

Department of Electrical & Computer Engineering Technology. EET 3086C Circuit Analysis Laboratory Experiments. Masood Ejaz Department of Electrical & Computer Engineering Technology EET 3086C Circuit Analysis Laboratory Experiments Masood Ejaz Experiment # 1 DC Measurements of a Resistive Circuit and Proof of Thevenin Theorem

More information

Lab E5: Filters and Complex Impedance

Lab E5: Filters and Complex Impedance E5.1 Lab E5: Filters and Complex Impedance Note: It is strongly recommended that you complete lab E4: Capacitors and the RC Circuit before performing this experiment. Introduction Ohm s law, a well known

More information

Department of Electronic Engineering NED University of Engineering & Technology. LABORATORY WORKBOOK For the Course SIGNALS & SYSTEMS (TC-202)

Department of Electronic Engineering NED University of Engineering & Technology. LABORATORY WORKBOOK For the Course SIGNALS & SYSTEMS (TC-202) Department of Electronic Engineering NED University of Engineering & Technology LABORATORY WORKBOOK For the Course SIGNALS & SYSTEMS (TC-202) Instructor Name: Student Name: Roll Number: Semester: Batch:

More information

The University of Texas at Austin Dept. of Electrical and Computer Engineering Final Exam

The University of Texas at Austin Dept. of Electrical and Computer Engineering Final Exam The University of Texas at Austin Dept. of Electrical and Computer Engineering Final Exam Date: December 18, 2017 Course: EE 313 Evans Name: Last, First The exam is scheduled to last three hours. Open

More information

UNIT-II MYcsvtu Notes agk

UNIT-II   MYcsvtu Notes agk UNIT-II agk UNIT II Infinite Impulse Response Filter design (IIR): Analog & Digital Frequency transformation. Designing by impulse invariance & Bilinear method. Butterworth and Chebyshev Design Method.

More information

EECS 216 Winter 2008 Lab 2: FM Detector Part I: Intro & Pre-lab Assignment

EECS 216 Winter 2008 Lab 2: FM Detector Part I: Intro & Pre-lab Assignment EECS 216 Winter 2008 Lab 2: Part I: Intro & Pre-lab Assignment c Kim Winick 2008 1 Introduction In the first few weeks of EECS 216, you learned how to determine the response of an LTI system by convolving

More information

ECE503: Digital Filter Design Lecture 9

ECE503: Digital Filter Design Lecture 9 ECE503: Digital Filter Design Lecture 9 D. Richard Brown III WPI 26-March-2012 WPI D. Richard Brown III 26-March-2012 1 / 33 Lecture 9 Topics Within the broad topic of digital filter design, we are going

More information

Lecture 2 Review of Signals and Systems: Part 1. EE4900/EE6720 Digital Communications

Lecture 2 Review of Signals and Systems: Part 1. EE4900/EE6720 Digital Communications EE4900/EE6420: Digital Communications 1 Lecture 2 Review of Signals and Systems: Part 1 Block Diagrams of Communication System Digital Communication System 2 Informatio n (sound, video, text, data, ) Transducer

More information

University of North Carolina-Charlotte Department of Electrical and Computer Engineering ECGR 3157 Electrical Engineering Design II Fall 2013

University of North Carolina-Charlotte Department of Electrical and Computer Engineering ECGR 3157 Electrical Engineering Design II Fall 2013 Exercise 1: PWM Modulator University of North Carolina-Charlotte Department of Electrical and Computer Engineering ECGR 3157 Electrical Engineering Design II Fall 2013 Lab 3: Power-System Components and

More information

EK307 Passive Filters and Steady State Frequency Response

EK307 Passive Filters and Steady State Frequency Response EK307 Passive Filters and Steady State Frequency Response Laboratory Goal: To explore the properties of passive signal-processing filters Learning Objectives: Passive filters, Frequency domain, Bode plots

More information

Review of Filter Types

Review of Filter Types ECE 440 FILTERS Review of Filters Filters are systems with amplitude and phase response that depends on frequency. Filters named by amplitude attenuation with relation to a transition or cutoff frequency.

More information

Laboratory Assignment 5 Amplitude Modulation

Laboratory Assignment 5 Amplitude Modulation Laboratory Assignment 5 Amplitude Modulation PURPOSE In this assignment, you will explore the use of digital computers for the analysis, design, synthesis, and simulation of an amplitude modulation (AM)

More information

EXPERIMENT 1: Characteristics of Passive and Active Filters

EXPERIMENT 1: Characteristics of Passive and Active Filters Kathmandu University Department of Electrical and Electronics Engineering ELECTRONICS AND ANALOG FILTER DESIGN LAB EXPERIMENT : Characteristics of Passive and Active Filters Objective: To understand the

More information

IIR Filter Design Chapter Intended Learning Outcomes: (i) Ability to design analog Butterworth filters

IIR Filter Design Chapter Intended Learning Outcomes: (i) Ability to design analog Butterworth filters IIR Filter Design Chapter Intended Learning Outcomes: (i) Ability to design analog Butterworth filters (ii) Ability to design lowpass IIR filters according to predefined specifications based on analog

More information

Chapter 7. Introduction. Analog Signal and Discrete Time Series. Sampling, Digital Devices, and Data Acquisition

Chapter 7. Introduction. Analog Signal and Discrete Time Series. Sampling, Digital Devices, and Data Acquisition Chapter 7 Sampling, Digital Devices, and Data Acquisition Material from Theory and Design for Mechanical Measurements; Figliola, Third Edition Introduction Integrating analog electrical transducers with

More information

Laboratory Assignment 4. Fourier Sound Synthesis

Laboratory Assignment 4. Fourier Sound Synthesis Laboratory Assignment 4 Fourier Sound Synthesis PURPOSE This lab investigates how to use a computer to evaluate the Fourier series for periodic signals and to synthesize audio signals from Fourier series

More information

FYS3240 PC-based instrumentation and microcontrollers. Signal sampling. Spring 2017 Lecture #5

FYS3240 PC-based instrumentation and microcontrollers. Signal sampling. Spring 2017 Lecture #5 FYS3240 PC-based instrumentation and microcontrollers Signal sampling Spring 2017 Lecture #5 Bekkeng, 30.01.2017 Content Aliasing Sampling Analog to Digital Conversion (ADC) Filtering Oversampling Triggering

More information

Infinite Impulse Response Filters

Infinite Impulse Response Filters 6 Infinite Impulse Response Filters Ren Zhou In this chapter we introduce the analysis and design of infinite impulse response (IIR) digital filters that have the potential of sharp rolloffs (Tompkins

More information

Basic Signals and Systems

Basic Signals and Systems Chapter 2 Basic Signals and Systems A large part of this chapter is taken from: C.S. Burrus, J.H. McClellan, A.V. Oppenheim, T.W. Parks, R.W. Schafer, and H. W. Schüssler: Computer-based exercises for

More information

INTRODUCTION DIGITAL SIGNAL PROCESSING

INTRODUCTION DIGITAL SIGNAL PROCESSING INTRODUCTION TO DIGITAL SIGNAL PROCESSING by Dr. James Hahn Adjunct Professor Washington University St. Louis 1/22/11 11:28 AM INTRODUCTION Purpose/objective of the course: To provide sufficient background

More information

Lab 5: Inverted Pendulum PID Control

Lab 5: Inverted Pendulum PID Control Lab 5: Inverted Pendulum PID Control In this lab we will be learning about PID (Proportional Integral Derivative) control and using it to keep an inverted pendulum system upright. We chose an inverted

More information

Operational Amplifiers

Operational Amplifiers Operational Amplifiers Continuing the discussion of Op Amps, the next step is filters. There are many different types of filters, including low pass, high pass and band pass. We will discuss each of the

More information

2.1 BASIC CONCEPTS Basic Operations on Signals Time Shifting. Figure 2.2 Time shifting of a signal. Time Reversal.

2.1 BASIC CONCEPTS Basic Operations on Signals Time Shifting. Figure 2.2 Time shifting of a signal. Time Reversal. 1 2.1 BASIC CONCEPTS 2.1.1 Basic Operations on Signals Time Shifting. Figure 2.2 Time shifting of a signal. Time Reversal. 2 Time Scaling. Figure 2.4 Time scaling of a signal. 2.1.2 Classification of Signals

More information

Electrical & Computer Engineering Technology

Electrical & Computer Engineering Technology Electrical & Computer Engineering Technology EET 419C Digital Signal Processing Laboratory Experiments by Masood Ejaz Experiment # 1 Quantization of Analog Signals and Calculation of Quantized noise Objective:

More information

Laboratory Project 4: Frequency Response and Filters

Laboratory Project 4: Frequency Response and Filters 2240 Laboratory Project 4: Frequency Response and Filters K. Durney and N. E. Cotter Electrical and Computer Engineering Department University of Utah Salt Lake City, UT 84112 Abstract-You will build a

More information

Class #16: Experiment Matlab and Data Analysis

Class #16: Experiment Matlab and Data Analysis Class #16: Experiment Matlab and Data Analysis Purpose: The objective of this experiment is to add to our Matlab skill set so that data can be easily plotted and analyzed with simple tools. Background:

More information

CHAPTER 14. Introduction to Frequency Selective Circuits

CHAPTER 14. Introduction to Frequency Selective Circuits CHAPTER 14 Introduction to Frequency Selective Circuits Frequency-selective circuits Varying source frequency on circuit voltages and currents. The result of this analysis is the frequency response of

More information

Digital Video and Audio Processing. Winter term 2002/ 2003 Computer-based exercises

Digital Video and Audio Processing. Winter term 2002/ 2003 Computer-based exercises Digital Video and Audio Processing Winter term 2002/ 2003 Computer-based exercises Rudolf Mester Institut für Angewandte Physik Johann Wolfgang Goethe-Universität Frankfurt am Main 6th November 2002 Chapter

More information

PYKC 7 Feb 2019 EA2.3 Electronics 2 Lecture 13-1

PYKC 7 Feb 2019 EA2.3 Electronics 2 Lecture 13-1 In this lecture, we will look back on all the materials we have covered to date. Instead of going through previous lecture materials, I will focus on what you have learned in the laboratory sessions, going

More information

EE443L Lab 8: Ball & Beam Control Experiment

EE443L Lab 8: Ball & Beam Control Experiment EE443L Lab 8: Ball & Beam Control Experiment Introduction: The ball and beam control approach investigated last week will be implemented on the physical system in this week s lab. Recall the two part controller

More information

Lab 6 rev 2.1-kdp Lab 6 Time and frequency domain analysis of LTI systems

Lab 6 rev 2.1-kdp Lab 6 Time and frequency domain analysis of LTI systems Lab 6 Time and frequency domain analysis of LTI systems 1 I. GENERAL DISCUSSION In this lab and the next we will further investigate the connection between time and frequency domain responses. In this

More information

Advanced Measurements

Advanced Measurements Albaha University Faculty of Engineering Mechanical Engineering Department Lecture 9: Wheatstone Bridge and Filters Ossama Abouelatta o_abouelatta@yahoo.com Mechanical Engineering Department Faculty of

More information

Mechatronics. Analog and Digital Electronics: Studio Exercises 1 & 2

Mechatronics. Analog and Digital Electronics: Studio Exercises 1 & 2 Mechatronics Analog and Digital Electronics: Studio Exercises 1 & 2 There is an electronics revolution taking place in the industrialized world. Electronics pervades all activities. Perhaps the most important

More information

Lecture 2 Analog circuits. Seeing the light..

Lecture 2 Analog circuits. Seeing the light.. Lecture 2 Analog circuits Seeing the light.. I t IR light V1 9V +V IR detection Noise sources: Electrical (60Hz, 120Hz, 180Hz.) Other electrical IR from lights IR from cameras (autofocus) Visible light

More information

ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015

ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015 Purdue University: ECE438 - Digital Signal Processing with Applications 1 ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015 1 Introduction

More information

Lab 2: Capacitors. Integrator and Differentiator Circuits

Lab 2: Capacitors. Integrator and Differentiator Circuits Lab 2: Capacitors Topics: Differentiator Integrator Low-Pass Filter High-Pass Filter Band-Pass Filter Integrator and Differentiator Circuits The simple RC circuits that you built in a previous section

More information

Digital Processing of

Digital Processing of Chapter 4 Digital Processing of Continuous-Time Signals 清大電機系林嘉文 cwlin@ee.nthu.edu.tw 03-5731152 Original PowerPoint slides prepared by S. K. Mitra 4-1-1 Digital Processing of Continuous-Time Signals Digital

More information

Lab 8: Frequency Response and Filtering

Lab 8: Frequency Response and Filtering Lab 8: Frequency Response and Filtering Pre-Lab and Warm-Up: You should read at least the Pre-Lab and Warm-up sections of this lab assignment and go over all exercises in the Pre-Lab section before going

More information

Pre-Lab. Introduction

Pre-Lab. Introduction Pre-Lab Read through this entire lab. Perform all of your calculations (calculated values) prior to making the required circuit measurements. You may need to measure circuit component values to obtain

More information

Octave Functions for Filters. Young Won Lim 2/19/18

Octave Functions for Filters. Young Won Lim 2/19/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

RLC Software User s Manual

RLC Software User s Manual RLC Software User s Manual Venable Instruments 4201 S. Congress, Suite 201 Austin, TX 78745 512-837-2888 www.venable.biz Introduction The RLC software allows you to measure the frequency response of RLC

More information

Lab S-5: DLTI GUI and Nulling Filters. Please read through the information below prior to attending your lab.

Lab S-5: DLTI GUI and Nulling Filters. Please read through the information below prior to attending your lab. DSP First, 2e Signal Processing First Lab S-5: DLTI GUI and Nulling Filters Pre-Lab: Read the Pre-Lab and do all the exercises in the Pre-Lab section prior to attending lab. Verification: The Exercise

More information

Concordia University. Discrete-Time Signal Processing. Lab Manual (ELEC442) Dr. Wei-Ping Zhu

Concordia University. Discrete-Time Signal Processing. Lab Manual (ELEC442) Dr. Wei-Ping Zhu Concordia University Discrete-Time Signal Processing Lab Manual (ELEC442) Course Instructor: Dr. Wei-Ping Zhu Fall 2012 Lab 1: Linear Constant Coefficient Difference Equations (LCCDE) Objective In this

More information

Electric Circuit Theory

Electric Circuit Theory Electric Circuit Theory Nam Ki Min nkmin@korea.ac.kr 010-9419-2320 Chapter 15 Active Filter Circuits Nam Ki Min nkmin@korea.ac.kr 010-9419-2320 Contents and Objectives 3 Chapter Contents 15.1 First-Order

More information

Department of Mechanical and Aerospace Engineering. MAE334 - Introduction to Instrumentation and Computers. Final Examination.

Department of Mechanical and Aerospace Engineering. MAE334 - Introduction to Instrumentation and Computers. Final Examination. Name: Number: Department of Mechanical and Aerospace Engineering MAE334 - Introduction to Instrumentation and Computers Final Examination December 12, 2002 Closed Book and Notes 1. Be sure to fill in your

More information

Week 1 Introduction of Digital Signal Processing with the review of SMJE 2053 Circuits & Signals for Filter Design

Week 1 Introduction of Digital Signal Processing with the review of SMJE 2053 Circuits & Signals for Filter Design SMJE3163 DSP2016_Week1-04 Week 1 Introduction of Digital Signal Processing with the review of SMJE 2053 Circuits & Signals for Filter Design 1) Signals, Systems, and DSP 2) DSP system configuration 3)

More information

George Mason University Signals and Systems I Spring 2016

George Mason University Signals and Systems I Spring 2016 George Mason University Signals and Systems I Spring 2016 Laboratory Project #4 Assigned: Week of March 14, 2016 Due Date: Laboratory Section, Week of April 4, 2016 Report Format and Guidelines for Laboratory

More information

2.1 Partial Derivatives

2.1 Partial Derivatives .1 Partial Derivatives.1.1 Functions of several variables Up until now, we have only met functions of single variables. From now on we will meet functions such as z = f(x, y) and w = f(x, y, z), which

More information

Digital Processing of Continuous-Time Signals

Digital Processing of Continuous-Time Signals Chapter 4 Digital Processing of Continuous-Time Signals 清大電機系林嘉文 cwlin@ee.nthu.edu.tw 03-5731152 Original PowerPoint slides prepared by S. K. Mitra 4-1-1 Digital Processing of Continuous-Time Signals Digital

More information

The Five-Minute Filter University, July Session

The Five-Minute Filter University, July Session The Five-Minute Filter University, July Session Jul 1, 2006 By: Ed Ramsden Sensors Magazine http://process.sensorsmag.com/ What Filters Do Back in the late 1970s comedian Don Novello (a.k.a. Father Guido

More information

ET 304A Laboratory Tutorial-Circuitmaker For Transient and Frequency Analysis

ET 304A Laboratory Tutorial-Circuitmaker For Transient and Frequency Analysis ET 304A Laboratory Tutorial-Circuitmaker For Transient and Frequency Analysis All circuit simulation packages that use the Pspice engine allow users to do complex analysis that were once impossible to

More information

ESE 150 Lab 04: The Discrete Fourier Transform (DFT)

ESE 150 Lab 04: The Discrete Fourier Transform (DFT) LAB 04 In this lab we will do the following: 1. Use Matlab to perform the Fourier Transform on sampled data in the time domain, converting it to the frequency domain 2. Add two sinewaves together of differing

More information

EKT 314/4 LABORATORIES SHEET

EKT 314/4 LABORATORIES SHEET EKT 314/4 LABORATORIES SHEET WEEK DAY HOUR 4 1 2 PREPARED BY: EN. MUHAMAD ASMI BIN ROMLI EN. MOHD FISOL BIN OSMAN JULY 2009 Creating a Typical Measurement Application 5 This chapter introduces you to common

More information

Midterm 1. Total. Name of Student on Your Left: Name of Student on Your Right: EE 20N: Structure and Interpretation of Signals and Systems

Midterm 1. Total. Name of Student on Your Left: Name of Student on Your Right: EE 20N: Structure and Interpretation of Signals and Systems EE 20N: Structure and Interpretation of Signals and Systems Midterm 1 12:40-2:00, February 19 Notes: There are five questions on this midterm. Answer each question part in the space below it, using the

More information

BSNL TTA Question Paper Control Systems Specialization 2007

BSNL TTA Question Paper Control Systems Specialization 2007 BSNL TTA Question Paper Control Systems Specialization 2007 1. An open loop control system has its (a) control action independent of the output or desired quantity (b) controlling action, depending upon

More information

Rahman Jamal, et. al.. "Filters." Copyright 2000 CRC Press LLC. <

Rahman Jamal, et. al.. Filters. Copyright 2000 CRC Press LLC. < Rahman Jamal, et. al.. "Filters." Copyright 000 CRC Press LLC. . Filters Rahman Jamal National Instruments Germany Robert Steer Frequency Devices 8. Introduction 8. Filter Classification

More information

Class #8: Experiment Diodes Part I

Class #8: Experiment Diodes Part I Class #8: Experiment Diodes Part I Purpose: The objective of this experiment is to become familiar with the properties and uses of diodes. We used a 1N914 diode in two previous experiments, but now we

More information

332:223 Principles of Electrical Engineering I Laboratory Experiment #2 Title: Function Generators and Oscilloscopes Suggested Equipment:

332:223 Principles of Electrical Engineering I Laboratory Experiment #2 Title: Function Generators and Oscilloscopes Suggested Equipment: RUTGERS UNIVERSITY The State University of New Jersey School of Engineering Department Of Electrical and Computer Engineering 332:223 Principles of Electrical Engineering I Laboratory Experiment #2 Title:

More information

Analog Design-filters

Analog Design-filters Analog Design-filters Introduction and Motivation Filters are networks that process signals in a frequency-dependent manner. The basic concept of a filter can be explained by examining the frequency dependent

More information

EEM478-DSPHARDWARE. WEEK12:FIR & IIR Filter Design

EEM478-DSPHARDWARE. WEEK12:FIR & IIR Filter Design EEM478-DSPHARDWARE WEEK12:FIR & IIR Filter Design PART-I : Filter Design/Realization Step-1 : define filter specs (pass-band, stop-band, optimization criterion, ) Step-2 : derive optimal transfer function

More information

Digital Filters IIR (& Their Corresponding Analog Filters) Week Date Lecture Title

Digital Filters IIR (& Their Corresponding Analog Filters) Week Date Lecture Title http://elec3004.com Digital Filters IIR (& Their Corresponding Analog Filters) 2017 School of Information Technology and Electrical Engineering at The University of Queensland Lecture Schedule: Week Date

More information

SIGNALS AND SYSTEMS LABORATORY 13: Digital Communication

SIGNALS AND SYSTEMS LABORATORY 13: Digital Communication SIGNALS AND SYSTEMS LABORATORY 13: Digital Communication INTRODUCTION Digital Communication refers to the transmission of binary, or digital, information over analog channels. In this laboratory you will

More information

Engineering 3821 Fall Pspice TUTORIAL 1. Prepared by: J. Tobin (Class of 2005) B. Jeyasurya E. Gill

Engineering 3821 Fall Pspice TUTORIAL 1. Prepared by: J. Tobin (Class of 2005) B. Jeyasurya E. Gill Engineering 3821 Fall 2003 Pspice TUTORIAL 1 Prepared by: J. Tobin (Class of 2005) B. Jeyasurya E. Gill 2 INTRODUCTION The PSpice program is a member of the SPICE (Simulation Program with Integrated Circuit

More information

Filter Notes. You may have memorized a formula for the voltage divider - if not, it is easily derived using Ohm's law, Vo Vi

Filter Notes. You may have memorized a formula for the voltage divider - if not, it is easily derived using Ohm's law, Vo Vi Filter Notes You may have memorized a formula for the voltage divider - if not, it is easily derived using Ohm's law, Vo Vi R2 R+ R2 If you recall the formula for capacitive reactance, the divider formula

More information

FYS3240 PC-based instrumentation and microcontrollers. Signal sampling. Spring 2015 Lecture #5

FYS3240 PC-based instrumentation and microcontrollers. Signal sampling. Spring 2015 Lecture #5 FYS3240 PC-based instrumentation and microcontrollers Signal sampling Spring 2015 Lecture #5 Bekkeng, 29.1.2015 Content Aliasing Nyquist (Sampling) ADC Filtering Oversampling Triggering Analog Signal Information

More information

EE 221 L CIRCUIT II. by Ming Zhu

EE 221 L CIRCUIT II. by Ming Zhu EE 22 L CIRCUIT II LABORATORY 9: RC CIRCUITS, FREQUENCY RESPONSE & FILTER DESIGNS by Ming Zhu DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS OBJECTIVE Enhance the knowledge

More information

Chapter 1: DC circuit basics

Chapter 1: DC circuit basics Chapter 1: DC circuit basics Overview Electrical circuit design depends first and foremost on understanding the basic quantities used for describing electricity: voltage, current, and power. In the simplest

More information

Digital Signal Processing

Digital Signal Processing Digital Signal Processing System Analysis and Design Paulo S. R. Diniz Eduardo A. B. da Silva and Sergio L. Netto Federal University of Rio de Janeiro CAMBRIDGE UNIVERSITY PRESS Preface page xv Introduction

More information

Experiment 2 Effects of Filtering

Experiment 2 Effects of Filtering Experiment 2 Effects of Filtering INTRODUCTION This experiment demonstrates the relationship between the time and frequency domains. A basic rule of thumb is that the wider the bandwidth allowed for the

More information

Final Exam. EE313 Signals and Systems. Fall 1999, Prof. Brian L. Evans, Unique No

Final Exam. EE313 Signals and Systems. Fall 1999, Prof. Brian L. Evans, Unique No Final Exam EE313 Signals and Systems Fall 1999, Prof. Brian L. Evans, Unique No. 14510 December 11, 1999 The exam is scheduled to last 50 minutes. Open books and open notes. You may refer to your homework

More information

Signal Processing. Naureen Ghani. December 9, 2017

Signal Processing. Naureen Ghani. December 9, 2017 Signal Processing Naureen Ghani December 9, 27 Introduction Signal processing is used to enhance signal components in noisy measurements. It is especially important in analyzing time-series data in neuroscience.

More information

Lab #5 Steady State Power Analysis

Lab #5 Steady State Power Analysis Lab #5 Steady State Power Analysis Steady state power analysis refers to the power analysis of circuits that have one or more sinusoid stimuli. This lab covers the concepts of RMS voltage, maximum power

More information

ME 461 Laboratory #3 Analog-to-Digital Conversion

ME 461 Laboratory #3 Analog-to-Digital Conversion ME 461 Laboratory #3 Analog-to-Digital Conversion Goals: 1. Learn how to configure and use the MSP430 s 10-bit SAR ADC. 2. Measure the output voltage of your home-made DAC and compare it to the expected

More information

MATLAB for time series analysis! e.g. M/EEG, ERP, ECG, EMG, fmri or anything else that shows variation over time! Written by!

MATLAB for time series analysis! e.g. M/EEG, ERP, ECG, EMG, fmri or anything else that shows variation over time! Written by! MATLAB for time series analysis e.g. M/EEG, ERP, ECG, EMG, fmri or anything else that shows variation over time Written by Joe Bathelt, MSc PhD candidate Developmental Cognitive Neuroscience Unit UCL Institute

More information

Lab E5: Filters and Complex Impedance

Lab E5: Filters and Complex Impedance E5.1 Lab E5: Filters and Complex Impedance Note: It is strongly recommended that you complete lab E4: Capacitors and the RC Circuit before performing this experiment. Introduction Ohm s law, a well known

More information

An Overview of Linear Systems

An Overview of Linear Systems An Overview of Linear Systems The content from this course was hosted on TechOnline.com from 999-4. TechOnline.com is now targeting commercial clients, so the content, (without animation and voice) is

More information

UNIT II IIR FILTER DESIGN

UNIT II IIR FILTER DESIGN UNIT II IIR FILTER DESIGN Structures of IIR Analog filter design Discrete time IIR filter from analog filter IIR filter design by Impulse Invariance, Bilinear transformation Approximation of derivatives

More information

F I R Filter (Finite Impulse Response)

F I R Filter (Finite Impulse Response) F I R Filter (Finite Impulse Response) Ir. Dadang Gunawan, Ph.D Electrical Engineering University of Indonesia The Outline 7.1 State-of-the-art 7.2 Type of Linear Phase Filter 7.3 Summary of 4 Types FIR

More information