STUDY ON THE REALIZATION WITH FPGA OF A MULTICARRIER MODEM

Size: px
Start display at page:

Download "STUDY ON THE REALIZATION WITH FPGA OF A MULTICARRIER MODEM"

Transcription

1 STUDY ON THE REALIZATION WITH FPGA OF A MULTICARRIER MODEM Galia Marinova 1 and Claude Fernandes 2 1 Technical University of Sofia, Telecommunications Faculty, Sofia, Bulgaria, gim@tu-sofia.bg 2 CNAM-Paris, Department of Electronics, Paris, France, cfernand@cnam.fr ABSTRACT The paper presents the results from a study on the FPGA based realization of a multicarrier modem with modulation and filter banks in the transmitter and in the receiver. The approach followed for the multicarrier modem realization is presented in details and the caracteristics in time, surface and precision of the IP (intellectual property) blocks developed for the digital signal processing functions in the modem are presented. The verifications applied for the functionality and precision estimation are also considered. 1. INTRODUCTION The paper presents the approach and the results of a study on the realization with FPGA of a multicarrier modem. The modem is designed for transmission in a frequency band of 1MHz, typical for the cables of telephone subscribers. The modem has 240 sub-channels for transmission. The frequency of each sub-channel is 4kHz. The mathematical model of the modem is described in [1,2] and the IP (intellectual property)-oriented specification of the modem is presented in [3]. The main blocks of the modem are presented on Figure 1. Serial/Parallel DATA modulation Parallel/Serial DATA demodulation IFFT (512pts) + SYNTHESIS FILTER BANK RECEIVER Equalizer TRANSMITTER Serial/Parallel Interpolator DAC CHANNEL Decimator ANALYSIS FILTER BANK + FFT(512pts) ADC Synchronization Figure 1. Main blocks in the core of a multicarrier modem A DSP-based realization of the multicarrier modem is studied in [4,7] and it needs at least 4 DSPs TMS320C6701 from Texas Instruments. The Code Composer Studio software was used to simulate the performance of the modem on DSPs. The core of the transmitter is realized on one DSP, but timing performance is close to the limit for modem speed. The core of the receiver needs at least 2 DSPs working in parallel in order to meet the timing constraints. The realization of the additional blocks in the modem has to be implemented on an additional DSP. The research described in this paper was planned to estimate the advantages of the realization on FPGA in terms of surface and timing. The FPGA permits to put in evidence some specific advantages of the modem specification, as for example the use of similar structures in the trasmitter and in the receiver. 2. APPROACH FOLLOWED FOR THE MULTICARRIER MODEM REALIZATION ON FPGA The approach followed for the multicarrier modem realization on FPGA is presented on Figure 2. Two families of programmable circuits were studied the FPGA of ALTERA with the development tool QUARTUS II and the FPGA of XILINX with the development tool ISE WEBPACK. For reasons of reliability, the circuits and development tools of XILINX were selected for the realization of the multicarrier modem. The chosen strategy was to develop in VHDL all the IP blocks of the modem, because the IP cores for digital signal processing functions proposed by the FPGA companies in [8,9] are expensive and they are not suitable for reserch and optimization. Thus, all the specific simplifications in the modem blocks, as zeros in inputs, zeros in filter coefficients, combining the FFT with a SPLIT block, can be considered. All the basic functions adders, substracters and multipliers for real and complex numbers, were rewritten in VHDL. A data base with IP blocks for the basic and digital signal processing functions was developed. The structures of the IPs in the data base are presented in [5]. The precision verification of the IP blocks developped in VHDL was based on MATLAB simulations. Loop verifications for complementary functions in the transmitter and in the receiver were performed for

2 Performance of the multicarrier modem Frequency: 4kHz Sub-channels: 240 modulation Mathematical model of the multicarrier modem C code validation of the mathematical model Development of an IP-oriented specification for the multicarrier modem Design verification of the IP in MATLAB with predefined input signal Study of : ALTERA FPGA with QUARTUS II development tool XILINX FPGA with ISE WEBPACK development tool Existing IP cores proposed by XILINX and ALTERA Estimation of the surface and timing parameters for the IP blocks on XILINX FPGA from the family VIRTEX II Development of the VHDL code for the IP blocks from the specification in the development tool ISE WEBPACK Test for functionality of the IP blocks developed Test for precision of the IP blocks developed Data base with IPs for digital signal processing functions for multicarrier modem realization Data format: 16 bits two s complement Test Signals and Test vectors Precision estimation Loop verification with subsets of IP blocks in VHDL in the transmitter and receiver of the multicarrier modem Estimations for functionality, precision, surface and timing parameters of the VHDL code for the modem Tests on XILINX development board Integration of the different IP blocks in different modem configurations Performance estimation for the FPGA-based realization and comparison with the DSP-based realization of the modem Figure 2. Approach followed for the multicarrier modem realization on FPGA functionality and precision estimation. Data are coded with 16-bits, in two s complement form. 3. IP BLOCKS DEVELOPED IN VHDL FOR THE MULTICARRIER MODEM The specification of the transmitter and the receiver defined in [3] are presented on Figures 3a and 3b. The IP blocks which were developed in VHDL are: Basic blocks: Set of 16-bit adders for real and complex operations; 16bit multiplier, based on Booth encoding and Wallace tree; Multiplier for complex numbers; Digital signal processing blocks: Butterfly processor; FFT/IFFT 16, 32 points, extendable to 128, 256 and 512 points; SPLIT blocks before the IFFT and after the FFT; for the transmitter and for the receiver;

3 I-A 0 II-A 0 I-A 1 II-A 1 modulation transmitter I-A 255 II-A Blocks [A] with 256 real words in 16 bit are processed every 250µs, so one block [A] with 256 words is processed in 125µs RAM 256x2x16bits 8 blocks A are processed in one cycle at time moments:t 0, t -1=t 0-125µs, t- 2=t µs,..,t --7=t µs, Complete processing time for one cycle for the 8 blocks is 1 ms. Pass II is processed 125µs after pass I I-A I-A 1 I-A I-A 3 real imaginary 0 I-A 255 I RAM 2x256x16bit (256 complexes) 0 II-A 0 II-A II-A 2 0 II-A 254 II-A II RAM 2x256x16bits(256 complexes) I-C 0 (t -3) I-C 0 (t -2) I-C 0 (t -1) I-C 0 (t 0) I-C 1(t -3) I-C 1(t -2) I-C 1(t -1) I-C 1(t 0) I-C 511(t -3) I-C 511(t -2) I-C 511(t -1) I-C 511(t 0) II-C 0(t -3) II-C 0(t -2) II-C 0(t -1) II-C 0(t 0) II-C 1(t -3) II-C 1(t -2) II-C 1(t -1) II-C 1(t 0) II-C 511(t -3) II-C 511(t -2) II-C 511(t -1) II-C 511(t 0) Storage of the results for the 8 initial blocks A processed during the two passes () following the modulation. The 256 complex words in every part at the outputs of the IFFT are transformed in a block with 512 real data. RAM 4x2x512x16bit Each block is processed and stored in 250µs. MUX I SPLIT I, II B 0RE B 1RE Real 16bits B 255RE B 0IM B 1IM Imaginary 16bits B 255IM Block [B] with 256 complex words RAM 256x2x16bits [B] 256x2=[B RE,B IM] 256 =[B ire, B iim, I=0,255] IFFT 256 complex input points IFFT twiddle factors ROM I, II 2048 coefficients of the synthesis filter bank ROM 2048 x16bits D 0=k 0C 0 (t 0)+ k 1C 0 (t -1)+ k 2C 0 (t -2)+ k 3C 0 (t -3) MUX D 1=k 4C 1 (t 0)+ k 5C 1 (t -1)+ k 6C 1 (t -2)+ k 7C 1 (t -3) D 511=k 2044C 511 (t 0)+ k 2045C 511 (t -1)+ k 2046C 511 (t -2)+ k 2047C 511 (t -3) Synthesis Filter Bank with 2048 coefficients F 0 F 1 F 511 Serial output previous output data block from the filter bank RAM 512x16bits RAM ( )x16bits I-D 0 I-D 1 I- D 511 II-D 256() II-D 257() II-D 511() II-D 0 II-D 1 II-D 255 II-D 256 II- D 511 Figure 3a. Transmitter of the multicarrier modem (specification oriented forward realization on FPGA) Synthesis filter bank in the transmitter and Analysis filter bank in the receiver; Interpolator and decimator from figures 4a and 4b. The characteristics of the IP blocks developed for the modem are presented in Table 1. Simulations are realized in ISE WEBPACK environment The estimations for surface and delay are made for XILINX FPGA from the family VIRTEX II. A development kit with the device XC2V1000-4FG-256C is used. The blocks are accessible for future optimizations in other modem projects.

4 F 255 (t -9) F 1(t -9) F 0 (t -9) Block 9: t µs F 255 (t -8) F 1(t -8) F 0 (t -8) Block 8: t µs F 255 (t -6) F 1(t -6) F 0 (t -6) Block 6: t µs F 255 (t -7) F 1(t -7) F 0 (t -7) Block 7: t µs F 255 (t -5) F 1(t -5) F 0 (t -5) Block 5: t µs F 255 (t -4) F 1(t -4) F 0 (t -4) Block 4: t µs F 255 (t -2) F 1(t -2) F 0 (t -2) Block 2: t µs F 255 (t -3) F 1(t -3) F 0 (t -3) Block 3: t µs F 255 (t -1) F 1(t -1) F 0 (t -1) Block 1: t -1 I-D 0 (T -3 ) =F 0 (t -8 ) I-D 0 (T -2 ) =F 0 (t -6 ) I-D 0 (T -1 ) =F 0 (t -4 ) I- D 0 (T 0 ) =F 0 (t -2 ) I-D 1 (T -3) =F 1(t -8) I-D 1 (T -2)=F 1(t -6) I- D 1 (T -1)=F 1(t -4) I- D 1 (T 0)=F 1(t -2) I-D 255(T -3) =F 255(t -8) I-D 255(T -3) =F 255(t -6) I-D 255(T -3) =F 255(t -4) I-D 255(T -3) =F 255(t -2) I-D 256(T -3) =F 0(t -7) I-D 256(T -3) =F 0(t -5) I-D 256(T -3) =F 0(t -3) I-D 256(T -3) =F 0(t -1) I-D 511(T -3)=F 255(t -7) I-D 511(T -2)=F 255(t -5) I-D 511(T -1)=F 255(t -3) I-D 511(T 0)=F 255(t -1) II-D 0 (T -3)=F 0(t -9) II-D 0 (T -2)=F 0(t -7) II-D 0 (T -2)=F 0(t -5) II-D 0 (T -1)=F 0(t -3) II-D 1 (T -3) =F 1(t -9) II-D 1 (T -2) =F 1(t -7) II-D 1 (T -1) =F 1(t -5) II-D 1 (T 0) =F 1(t -3) II-D 255 (T -3) =F 255(t -9) II-D 255 (T -2) =F 255(t -7) II-D 255(T -1) =F 255(t -5) II-D 255 (T 0) =F 255(t -3) II-D 256 (T -3) =F 0 (t -8) II-D 256 (T -2) =F 0 (t -6) II-D 256 (T -1) =F 0 (t -4) II-D 256 (T 0) =F 0 (t -2) II-D 511 (T -3) =F 255(t -8) II-D 511 (T -2) =F 255(t -6) II-D 511(T -1) =F 255(t -4) II-D 511 (T 0) =F 255(t -2) Serial to parallel transformation RAM 4x2x512x16bits Calculation starts when the block [F(t -1)] is charged, and T 0>t -1; T -1=T 0-250µs; T -2= T µs; T -3= T µs; C 0=k 2047D 0(T 0)+k 2046D 0(T -1)+k 2045D 0(T -2)+k 2044D 0(T -3) I, II C 1=k 2043D 1(T 0)+k 2042D 1(T -1)+k 2041D 1(T -2)+k 2040D 1(T -3) C 511=k 3D 511(T 0)+k 2D 511(T -1)+k 1D 511(T -2)+k 0D 0(T -3) ROM 2048 coefficients MUX Analysis Filter Bank with 2048 coefficients C 0RE=C 0 C 0IM=C 1 C 1RE=C 2 C 1IM=C 3 C 255RE=C 510 C 255IM=C 511 RAM 512x16bits FFT 256 complex points B 0RE B 1RE B 255RE B 0IM B 1IM B 255IM RAM 512x16bits SPLIT2 Postprocessing I-B 0RE I-B 0IM I-A 0= I-B 0RE II-A 0= II-B 0IM I-B 1RE I-B 1IM I-B 255RE I-B 255IM RAM 512x16bits II-B 0RE II-B 0IM II-B 1RE II-B 1IM II-B 255RE II-B 255IM demodulation receiver I-A 1= I-B 1IM II-A 1= II-B 1RE I-A 2= I-B 2RE II-A 2= II-B 2IM I-A 3= I-B 3IM II-A 3= II-B 3RE I-A 4= I-B 4RE II-A 4= II-B 4IM I-A 5= I-B 5IM II-A 5= II-B 5RE I-A 254 =I-B 254RE II-A 254=II-B 254IM I-A 255 =I-B 255IM IIA 255=II-B 255RE RAM 2x256x16bits constructed from the two blocks with 256 real data outcoming from the RAM 512x16bits Figure 3b. Receiver of the multicarrier modem (specification oriented forward FPGA realization)

5 Set of 512 real data in 16 bit formatat the output of the trasmitter core F 511 F 1 F 0 INTERPOLATOR Set of 1024 real data in 16bit format 0 F F 1 0 F 0 0 F F 510 F h 20 h 19 h 18 h 1 h 0 Rolling window ROM with 21 coefficients for the filter in the interpolator 21x16bits Set of 1024 real data in 16bit format G 1023 G 1022=F 506 G 3 G 2=F 506 G 1 G 0=F 507 G 0=F 507() G 1= h h 19F 0+ h 180+ h 17F 511() + + h 1 F 503() + h 00 G 2= F 508() G 3= h h 19F 1+ h 180+ h 17F 0 + h 16F 511() + + h 20 + h 1F 504() + h 00 G 4=F 509() G 10=F 0 G 19= h 200+h 19F 9+ h 180+ h 8F h 20 + h 1F 0 + h 00 G 20=F 5 G 21= h 200+h 19F 10+ h 180+ h 17F h 20 + h 1F 1 + h 00 G 22=F 6 G 2k =F k-5, k=5,11 G 1023= h h 19F 511+ h 180+ h 17F h 20 + h 1F h 00 Figure 4a. Specification of the interpolator from figure 1 Set of 1024 rael data in 16bit format G 1023 G 1022 G 3 G 2 G 1 G 0 G 1023 G 1022 G 1005 G 1004 h 20 h 19 h 18 h 1 h 0 Rolling window Set of 1024 real numbers in 16bit format F 1023 F 1022 F 3 F 2 F 1 F 0 Data with odd index are taken ROM des 21 coefficients of the filter in the decimator 21x16bits Set of 512 real numbers on the input of the receiver s core F 511 F 1 F 0 DECIMATOR F 0= h 20G 0 + h 19 G 1023() + h 18G 1022() + + h 1 F 1005() + h 0F 1004() F 1= h 20G 1 + h 19G 0() + h 18G 1023() + + h 1 F 1006() + h 0F 1005() F 2= h 20G 2 + h 19G 1 + h 18G 0+ h 17 G 1023() ++ h 1G 1007() + h 0G 1006() F 3= h 20G 3 + h 19G 2+h 18 G 1+ h 17G 0 + h 16G 1023() + + h 1 G 1008() + h 0G 1007() F 20= h 20G 20 + h 19G 19+h 18 G 18+ h 17G 17 + h 16G h 1 G 1 + h 0G 0 F 1023= h 20G h 19G h 18G h 17F h 2G h 1G h 0G 1003 Figure 4b. Specification of the decimator in the receiver from figure 1

6 Table 1. Characteristics for structure, surface, delay and precision of the IP blocks developed for the modem, estimated on XILINX FPGA from the family VIRTEX II with the device XC2V1000-4FG-256C IP block Characteristics for structure, surface, delay and precision Adder for real numbers 16 bits Delay: 9ns Adder for complex numbers Structure: Two 16-bit adders for real numbers; Delay: 9ns Multiplier 16 bits Structure: Booth encoding and Wallace tree Delay: 50ns Butterfly processor Structure: Realized with 4 16-bit multipliers in parallel Surface*: 20k Delay: 59 ns FFT/IFFT Structure for the 32 points block: Realized with 1 butterfly processor and counters; The radix 2 algorithm is implemented; Structure for the 128 points block: Implements 4 times the 32 points block plus additional logic Structure for the 512 points block: Implements the 128 points block For the 512 points FFT: Surface:500k Delay: 51us Precision: 0.07% average error modulation in the Structure : Realization with one block RAM 256x16bits transmitter demodulation in the receiver Surface*: 12.5k Delay: 5.88ns SPLIT Before the IFFT After the FFT Optimized block +SPLIT before the IFFT SPLIT+ after the FFT Filter bank Synthesis filter bank in the transmitter (SFB) Analysis filter bank in the receiver (AFB) The implementation of the SPLIT permits to reduce twice the size of the FFT/IFFT block; Instead of 512 points FFT/IFFT, combined with the SPLIT a 256 points FFT/IFFT is used; The SPLIT-based realization of the multicarrier modem is considered in a separate paper. Structure: 512 filters with 4 coefficients; The analysis filter bank has the same structure as the synthesis filter bank with different order of the 2048 coefficients: h i,j [SFB]=h 513-i,j [AFB], i=1,512, j=1,4 Realization with 1 multiplier-accumulator and counters for the 2048 multiplications Surface*: 500k Delay:102us Precision 0-7% Interpolator Structure: Filter with 21 coefficients, 10 of them are zeros; Realization with 1 multiplier-accumulator and counters for the 10 multiplications; A set of 512 data is transformed in a set of 1024 data; Surface*: 100k Delay: 256us Precision:0.15% maximal error Decimator Structure: Filter with 21 coefficients, 10 of them are zeros; The coefficients of the filter in the decimator are those in the interpolator devided by 2: h i [DEC]=0.5h i [INT], i=1,21 Realization with 1 multiplier-accumulator and counters for the 11 multiplications; A set of 1024 data is transformed in a set of 512 data; Surface*: 100k Delay: 281us Precision: 0.155% maximal error * The surface is calculated on base of XILINX CLBs (complex logic blocks), blocks of data RAM and coefficients ROM. These data are given in details in [5]. The multicarrier modem considered has some advantages for realization because the IP blocks in the transmitter have similar structure to the IP blocks in the receiver: modulation in the transmitter and demodulation in the receiver; IFFT in the transmitter and FFT in the receiver; Synthesis filter bank in the transmitter and analysis filter bank in the receiver, differing each other by the order of the coefficients; Interpolator in the transmitter and decimator in the receiver, differing by the coefficient values. The coefficients of the filter bank are calculated as follows: h (1023+i) =cos(π(i-1)/256)/(1-((i-1)/128) 2 ), i=1,128 and i=130,1024 h 1152 =π/4 h (1024-i)= h (1024+i), i=1,1023 h 2048 =0 This fact permits the surface optimization by using twice the same structure and it permits the loop verification for the complementary blocks in the transmitter and the receiver.

7 A set of design solutions for each IP block is estimated in order to select the optimal one for the modem realization. For example on figures 5a and 5b are considered two realizations of the filters in the iterpolator and in the decimator. The design from figure 5a uses 10 or 11 multipliers in parallel to realize the specifications from figures 4a and 4b, and the design from figure 5b uses one multiplier and a clock for serial realization. The solution from figure 5a is prohibitive in terms of surface, so the structure from figure 5b was implemented both for the filters in the interpolator and in the decimator. F 1 h 1 F 2 h 3 F 3 h 5.. F 11 h 19 Figure 5a. Realization of decimation/interpolation with 11/10 multipliers in parallel RAM with non zero input data 11 for the decimator 10 for the interpolator Clock Adder accumulator ROM with non zero coefficients 11 for the decimator 10 for the interpolator output Gout Figure 5b Realization of decimation/interpolation with 1 multiplier The blocks to be developed are: the equalizer, the synchronization and the binary to symbol transform. Mathematical models of these blocks are presented in [2]. 4. DESIGN VERIFICATIONS OF THE MULTICARRIER MODEM REALIZATION Verifications for functionality and precision estimation of the different IP blocks are described in [5]. Here is presented a verification in loop of the modulation and demodulation in the transmitter and in the receiver in order to estimate the functionality and the precision of the design integrating the main blocks in the modem. The loop verification is presented on Figure 6. The modem core realization is verified with an unitary pulsation in the input of the transmitter. The 8 initial blocks [A] from figure 3a (at times t-7, t-6, t-5, t-4, t-3, t-2, t-1, t0) contain one data 1. All other data are 0. The purpose is to verify the loop formed from the following IP blocks: modulation in the transmitter, SPLIT and IFFT, Synthesis Filter Bank, final addition in the transmitter, demodulation in the receiver, Analysis Filter Bank in the receiver, FFT and SPLIT. The objective is to compare the data from the block in the input of the transmitter at the moment t0 and the data received at the output of the receiver, given the data from the 7 previous blocks from moments t-1, t-2, t- 3, t-4, t-5, t-6, t-7. The verification is first realized through MATLAB simulation, then verification is realized through VHDL simulation in XILINX ISE WEBPACK environment. Precision and verification loop for the filter bank blocks in the transmitter and the receiver is estimated through the maximal error which is 7% compared to MATLAB results and 7% from the comparison between transmitted and received data in the experience with the unitary pulse transmission and reception. 5. CONCLUSION A study on the realization with FPGA of a multicarrier modem was performed. The main IP blocks for the modem are developed in VHDL. The results from the test integrating the principal blocks in the transmitter and the receiver of the modem are sufficient in precision, timing and surface. The realization on FPGA could be a complement or a substitution to the DSP-based realization. The main drawback of the DSP-realization mentioned in [4,7] is that the core of the multicarrier modem from figure 1 needs at least 4 DSPs. At the other hand the timings obtained in the DSP realization are very close to constraints limits for modem performance. The FPGA-based modem realization is more compact and it provides a satisfactory timing rate. For example the IP block of the FFT(IFFT) with 256 complex points realized on FPGA is 5 times faster than the similar realization on DSP [7]. Further integration of the modem design is planned, adding blocks for coding, equalization and synchrozation. Some optimizations and additional tests are foreseen by simulation and on development kit.

8 I t- t- t- t- t- t IFFT 512pts Synthesis FB in the transmitt [DI]= t0 t-1 t-2 t-3 d 1 d 1 d 1 d 1 d 2 d 2 d 2 d 2 d 512 d 512 d 512 d 512 [DI] Unitary pulse Serial Input data II Modulation in the transmitter t- t- t- t- t- t [DII]= t0 t-1 t-2 t Delay 256 positions Analysis FB receiver ~0 ~0 ~0 ~0 1 ~0 ~0 ~ Output signal in the receiver FFT 512pts Figure 6. Loop verification of the modulation and demodulation in the transmitter and the receiver of the multicarrier modem design 6. ACKNOWLEDGEMENTS The authors acknowledge professor Maurice Bellanger for his contribution in the theoretical aspects of the modem design and the test vectors definitions. Thanks also to Mr. Didier Le Ruyet for his advices. Authors acknowledge the partial financial support from the NATO scientific project PST.CLG /16/3/01 and NSF I/1203/03 project. 7. REFERENCES [1] M. Bellanger, Digital Processing of Signals: Theoty and Practice (3 rd edition), John Wiley and Sons ed., UK, February 2000 [2] M. Khalfaoui, Contribution on the Study of a Multicarrier Transmition System, Ph.d. thesis CNAM- Paris, France, January 2001 (in French) [3] G. Marinova, C.Fernandes, M.Bellanger, Specification of a Multicarrier Modem Aimed to Intellectual Property and FPGA Implementation, Proceedings of the Int. Conf. BTEBIZ 2002, Albena, Bulgaria, September 2002, pp [4] M.Baudin, Ph.Tremblais, M. Bellanger, Implantation et optimisation d un algorithme multiporteuse sur DSP, Proceedings of the Int. Conf. BTEBIZ 2002, Albena, Bulgaria, September 2002, pp [5] G.Marinova, C.Fernandes, Data Base of IP blocks Developed in VHDL for Multicarrier Modem Realization on FPGA, Proceedings MELECON 2004, Volume I, Dubrovnik, May 2004, pp [6] St. Statev, G. Marinova, P. Daponte, C. Fernandès, Comparison of the Parallel and Serial Realizations of the IP Block of the FFT, Proceedings of the Int. Conf. BTEBIZ 2002, Albena, Bulgarie,16-18 September 2002, pp [7] Ph. Tremblais, Realization of a multicarrier modem applied on S/ADSL system, CNAM-Paris, France, June 2002 (in French) [8] [9]

An Area Efficient FFT Implementation for OFDM

An Area Efficient FFT Implementation for OFDM Vol. 2, Special Issue 1, May 20 An Area Efficient FFT Implementation for OFDM R.KALAIVANI#1, Dr. DEEPA JOSE#1, Dr. P. NIRMAL KUMAR# # Department of Electronics and Communication Engineering, Anna University

More information

A Comparison of Two Computational Technologies for Digital Pulse Compression

A Comparison of Two Computational Technologies for Digital Pulse Compression A Comparison of Two Computational Technologies for Digital Pulse Compression Presented by Michael J. Bonato Vice President of Engineering Catalina Research Inc. A Paravant Company High Performance Embedded

More information

HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE

HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE R.ARUN SEKAR 1 B.GOPINATH 2 1Department Of Electronics And Communication Engineering, Assistant Professor, SNS College Of Technology,

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

Stratix II DSP Performance

Stratix II DSP Performance White Paper Introduction Stratix II devices offer several digital signal processing (DSP) features that provide exceptional performance for DSP applications. These features include DSP blocks, TriMatrix

More information

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012 Vol. 8(34), pp. 1658-1669, 11 September, 2013 DOI 10.5897/SRE12.171 ISSN 1992-2248 2013 Academic Journals http://www.academicjournals.org/sre Scientific Research and Essays Full Length Research Paper Field-programmable

More information

High Performance DSP Solutions for Ultrasound

High Performance DSP Solutions for Ultrasound High Performance DSP Solutions for Ultrasound By Hong-Swee Lim Senior Manager, DSP/Embedded Marketing Hong-Swee.Lim@xilinx.com 12 May 2008 DSP Performance Gap Performance (Algorithmic and Processor Forecast)

More information

Design and Implementation of a Multi-Carrier Demodulator

Design and Implementation of a Multi-Carrier Demodulator Design and Implementation of a Multi-Carrier Demodulator H. HO*, V. SZWARC*, C. LOO*, and T. KWASNIEWSKI** * Communications Research Centre 3701 Carling Ave., Box 11490, Station H, Ottawa, Ontario, K2H

More information

Partial Reconfigurable Implementation of IEEE802.11g OFDM

Partial Reconfigurable Implementation of IEEE802.11g OFDM Indian Journal of Science and Technology, Vol 7(4S), 63 70, April 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Partial Reconfigurable Implementation of IEEE802.11g OFDM S. Sivanantham 1*, R.

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.10, September-2013, Pages:984-988 Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator MISS ANGEL

More information

Implementation of FPGA based Design for Digital Signal Processing

Implementation of FPGA based Design for Digital Signal Processing e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 150 156 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Implementation of FPGA based Design for Digital Signal Processing Neeraj Soni 1,

More information

Chapter 0 Outline. NCCU Wireless Comm. Lab

Chapter 0 Outline. NCCU Wireless Comm. Lab Chapter 0 Outline Chapter 1 1 Introduction to Orthogonal Frequency Division Multiplexing (OFDM) Technique 1.1 The History of OFDM 1.2 OFDM and Multicarrier Transmission 1.3 The Applications of OFDM 2 Chapter

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

DATA INTEGRATION MULTICARRIER REFLECTOMETRY SENSORS

DATA INTEGRATION MULTICARRIER REFLECTOMETRY SENSORS Report for ECE 4910 Senior Project Design DATA INTEGRATION IN MULTICARRIER REFLECTOMETRY SENSORS Prepared by Afshin Edrissi Date: Apr 7, 2006 1-1 ABSTRACT Afshin Edrissi (Cynthia Furse), Department of

More information

BPSK System on Spartan 3E FPGA

BPSK System on Spartan 3E FPGA INTERNATIONAL JOURNAL OF INNOVATIVE TECHNOLOGIES, VOL. 02, ISSUE 02, FEB 2014 ISSN 2321 8665 BPSK System on Spartan 3E FPGA MICHAL JON 1 M.S. California university, Email:santhoshini33@gmail.com. ABSTRACT-

More information

Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719, Volume 2, Issue 10 (October 2012), PP 54-58 Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator Thotamsetty

More information

Cyclone II Filtering Lab

Cyclone II Filtering Lab May 2005, ver. 1.0 Application Note 376 Introduction The Cyclone II filtering lab design provided in the DSP Development Kit, Cyclone II Edition, shows you how to use the Altera DSP Builder for system

More information

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS Journal of ELECTRICAL ENGINEERING, VOL. 60, NO. 1, 2009, 43 47 THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS Rastislav Róka For the exploitation of PLC modems, it is necessary to

More information

ISSN Vol.03,Issue.02, February-2014, Pages:

ISSN Vol.03,Issue.02, February-2014, Pages: www.semargroup.org, www.ijsetr.com ISSN 2319-8885 Vol.03,Issue.02, February-2014, Pages:0239-0244 Design and Implementation of High Speed Radix 8 Multiplier using 8:2 Compressors A.M.SRINIVASA CHARYULU

More information

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION Sinan Yalcin and Ilker Hamzaoglu Faculty of Engineering and Natural Sciences, Sabanci University, 34956, Tuzla,

More information

Synthesis and Simulation of Floating Point Multipliers Dr. P. N. Jain 1, Dr. A.J. Patil 2, M. Y. Thakre 3

Synthesis and Simulation of Floating Point Multipliers Dr. P. N. Jain 1, Dr. A.J. Patil 2, M. Y. Thakre 3 Synthesis and Simulation of Floating Point Multipliers Dr. P. N. Jain 1, Dr. A.J. Patil 2, M. Y. Thakre 3 1Professor and Academic Dean, Department of E&TC, Shri. Gulabrao Deokar College of Engineering,

More information

Stratix Filtering Reference Design

Stratix Filtering Reference Design Stratix Filtering Reference Design December 2004, ver. 3.0 Application Note 245 Introduction The filtering reference designs provided in the DSP Development Kit, Stratix Edition, and in the DSP Development

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

The Application of System Generator in Digital Quadrature Direct Up-Conversion

The Application of System Generator in Digital Quadrature Direct Up-Conversion Communications in Information Science and Management Engineering Apr. 2013, Vol. 3 Iss. 4, PP. 192-19 The Application of System Generator in Digital Quadrature Direct Up-Conversion Zhi Chai 1, Jun Shen

More information

THIS work focus on a sector of the hardware to be used

THIS work focus on a sector of the hardware to be used DISSERTATION ON ELECTRICAL AND COMPUTER ENGINEERING 1 Development of a Transponder for the ISTNanoSAT (November 2015) Luís Oliveira luisdeoliveira@tecnico.ulisboa.pt Instituto Superior Técnico Abstract

More information

Implementation of an IFFT for an Optical OFDM Transmitter with 12.1 Gbit/s

Implementation of an IFFT for an Optical OFDM Transmitter with 12.1 Gbit/s Implementation of an IFFT for an Optical OFDM Transmitter with 12.1 Gbit/s Michael Bernhard, Joachim Speidel Universität Stuttgart, Institut für achrichtenübertragung, 7569 Stuttgart E-Mail: bernhard@inue.uni-stuttgart.de

More information

Design and Simulation of 16x16 Hybrid Multiplier based on Modified Booth algorithm and Wallace tree Structure

Design and Simulation of 16x16 Hybrid Multiplier based on Modified Booth algorithm and Wallace tree Structure Design and Simulation of 16x16 Hybrid Multiplier based on Modified Booth algorithm and Wallace tree Structure 1 JUILI BORKAR, 2 DR.U.M.GOKHALE 1 M.TECH VLSI (STUDENT), DEPARTMENT OF ETC, GHRIET, NAGPUR,

More information

Implementing DDC with the HERON-FPGA Family

Implementing DDC with the HERON-FPGA Family HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)1278 760188, Fax: (+44) (0)1278 760199, Email: sales@hunteng.demon.co.uk URL: http://www.hunteng.co.uk Implementing

More information

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog K.Durgarao, B.suresh, G.Sivakumar, M.Divaya manasa Abstract Digital technology has advanced such that there is an increased need for power efficient

More information

Tirupur, Tamilnadu, India 1 2

Tirupur, Tamilnadu, India 1 2 986 Efficient Truncated Multiplier Design for FIR Filter S.PRIYADHARSHINI 1, L.RAJA 2 1,2 Departmentof Electronics and Communication Engineering, Angel College of Engineering and Technology, Tirupur, Tamilnadu,

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

Low Power R4SDC Pipelined FFT Processor Architecture

Low Power R4SDC Pipelined FFT Processor Architecture IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) e-issn: 2319 4200, p-issn No. : 2319 4197 Volume 1, Issue 6 (Mar. Apr. 2013), PP 68-75 Low Power R4SDC Pipelined FFT Processor Architecture Anjana

More information

Performance Analysis of an Efficient Reconfigurable Multiplier for Multirate Systems

Performance Analysis of an Efficient Reconfigurable Multiplier for Multirate Systems Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers

Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers Journal of Computer Science 7 (12): 1894-1899, 2011 ISSN 1549-3636 2011 Science Publications Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers Muhammad

More information

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved.

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved. FPGA Implementation Platform for MIMO- Based on UART 1 Sherif Moussa,, 2 Ahmed M.Abdel Razik, 3 Adel Omar Dahmane, 4 Habib Hamam 1,3 Elec and Comp. Eng. Department, Université du Québec à Trois-Rivières,

More information

Area Efficient Fft/Ifft Processor for Wireless Communication

Area Efficient Fft/Ifft Processor for Wireless Communication IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 3, Ver. III (May-Jun. 2014), PP 17-21 e-issn: 2319 4200, p-issn No. : 2319 4197 Area Efficient Fft/Ifft Processor for Wireless Communication

More information

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Vijay Kumar Ch 1, Leelakrishna Muthyala 1, Chitra E 2 1 Research Scholar, VLSI, SRM University, Tamilnadu, India 2 Assistant Professor,

More information

Implementation of OFDM Based on FPGA

Implementation of OFDM Based on FPGA 2012 International Conference on Image, Vision and Computing (ICIVC 2012) IPCSIT vol. 50 (2012) (2012) IACSIT Press, Singapore DOI: 10.7763/IPCSIT.2012.V50.55 Implementation of OFDM Based on FPGA Zhiwei

More information

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA Mr. Pratik A. Bhore 1, Miss. Mamta Sarde 2 pbhore3@gmail.com1, mmsarde@gmail.com2 Department of Electronics & Communication Engineering Abha Gaikwad-Patil

More information

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL G.Murugesan N. Ramadass Dr.J.Raja paul Perinbum School of ECE Anna University Chennai-600 025 Gm1gm@rediffmail.com ramadassn@yahoo.com

More information

FIR Filter Design on Chip Using VHDL

FIR Filter Design on Chip Using VHDL FIR Filter Design on Chip Using VHDL Mrs.Vidya H. Deshmukh, Dr.Abhilasha Mishra, Prof.Dr.Mrs.A.S.Bhalchandra MIT College of Engineering, Aurangabad ABSTRACT This paper describes the design and implementation

More information

ACIIR IP CORE IIR FILTERS

ACIIR IP CORE IIR FILTERS IP CORE IIR FILTERS BASIC PAETERS Configurable fixed point IIR filters SP processor architecture 2 s complement arithmetic Parametrisable data and coefficient widths Configurable precision and output scale

More information

Pipelined FFT/IFFT 256 points (Fast Fourier Transform) IP Core User Manual

Pipelined FFT/IFFT 256 points (Fast Fourier Transform) IP Core User Manual Pipelined FFT/IFFT 256 points (Fast Fourier Transform) IP Core User Manual Unicore Systems Ltd 60-A Saksaganskogo St Office 1 Kiev 01033 Ukraine Phone: +38-044-289-87-44 Fax: : +38-044-289-87-44 E-mail:

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

A 65nm CMOS RF Front End dedicated to Software Radio in Mobile Terminals

A 65nm CMOS RF Front End dedicated to Software Radio in Mobile Terminals A 65nm CMOS RF Front End dedicated to Software Radio in Mobile Terminals F. Rivet, Y. Deval, D. Dallet, JB Bégueret, D. Belot IMS Laboratory, Université de Bordeaux, Talence, France STMicroelectronics,

More information

High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m )

High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m ) High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m ) Abstract: This paper proposes an efficient pipelined architecture of elliptic curve scalar multiplication (ECSM)

More information

Design of an optimized multiplier based on approximation logic

Design of an optimized multiplier based on approximation logic ISSN:2348-2079 Volume-6 Issue-1 International Journal of Intellectual Advancements and Research in Engineering Computations Design of an optimized multiplier based on approximation logic Dhivya Bharathi

More information

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER International Journal of Advancements in Research & Technology, Volume 4, Issue 6, June -2015 31 A SPST BASED 16x16 MULTIPLIER FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

Stratix II Filtering Lab

Stratix II Filtering Lab October 2004, ver. 1.0 Application Note 362 Introduction The filtering reference design provided in the DSP Development Kit, Stratix II Edition, shows you how to use the Altera DSP Builder for system design,

More information

PLC2 FPGA Days Software Defined Radio

PLC2 FPGA Days Software Defined Radio PLC2 FPGA Days 2011 - Software Defined Radio 17 May 2011 Welcome to this presentation of Software Defined Radio as seen from the FPGA engineer s perspective! As FPGA designers, we find SDR a very exciting

More information

Functional analysis of DSP blocks in FPGA chips for application in TESLA LLRF system

Functional analysis of DSP blocks in FPGA chips for application in TESLA LLRF system TESLA Report 23-29 Functional analysis of DSP blocks in FPGA chips for application in TESLA LLRF system Krzysztof T. Pozniak, Tomasz Czarski, Ryszard S. Romaniuk Institute of Electronic Systems, WUT, Nowowiejska

More information

FPGA-BASED PULSED-RF PHASE AND AMPLITUDE DETECTOR AT SLRI

FPGA-BASED PULSED-RF PHASE AND AMPLITUDE DETECTOR AT SLRI doi:10.18429/jacow-icalepcs2017- FPGA-BASED PULSED-RF PHASE AND AMPLITUDE DETECTOR AT SLRI R. Rujanakraikarn, Synchrotron Light Research Institute, Nakhon Ratchasima, Thailand Abstract In this paper, the

More information

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter Dr.N.C.sendhilkumar, Assistant Professor Department of Electronics and Communication Engineering Sri

More information

International Journal of Modern Engineering and Research Technology

International Journal of Modern Engineering and Research Technology Volume 1, Issue 4, October 2014 ISSN: 2348-8565 (Online) International Journal of Modern Engineering and Research Technology Website: http://www.ijmert.org Email: editor.ijmert@gmail.com Vedic Optimized

More information

Optimized BPSK and QAM Techniques for OFDM Systems

Optimized BPSK and QAM Techniques for OFDM Systems I J C T A, 9(6), 2016, pp. 2759-2766 International Science Press ISSN: 0974-5572 Optimized BPSK and QAM Techniques for OFDM Systems Manikandan J.* and M. Manikandan** ABSTRACT A modulation is a process

More information

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Introduction: The CEBAF upgrade Low Level Radio Frequency (LLRF) control

More information

Implementation of Orthogonal Frequency Division Multiplexing with FPGA

Implementation of Orthogonal Frequency Division Multiplexing with FPGA University of Arkansas, Fayetteville ScholarWorks@UARK Theses and Dissertations 5-2012 Implementation of Orthogonal Frequency Division Multiplexing with FPGA Qi Hao Yang University of Arkansas, Fayetteville

More information

CORDIC Algorithm Implementation in FPGA for Computation of Sine & Cosine Signals

CORDIC Algorithm Implementation in FPGA for Computation of Sine & Cosine Signals International Journal of Scientific & Engineering Research, Volume 2, Issue 12, December-2011 1 CORDIC Algorithm Implementation in FPGA for Computation of Sine & Cosine Signals Hunny Pahuja, Lavish Kansal,

More information

A FFT/IFFT Soft IP Generator for OFDM Communication System

A FFT/IFFT Soft IP Generator for OFDM Communication System A FFT/IFFT Soft IP Generator for OFDM Communication System Tsung-Han Tsai, Chen-Chi Peng and Tung-Mao Chen Department of Electrical Engineering, National Central University Chung-Li, Taiwan Abstract: -

More information

Anju 1, Amit Ahlawat 2

Anju 1, Amit Ahlawat 2 Implementation of OFDM based Transreciever for IEEE 802.11A on FPGA Anju 1, Amit Ahlawat 2 1 Hindu College of Engineering, Sonepat 2 Shri Baba Mastnath Engineering College Rohtak Abstract This paper focus

More information

FPGA-based Prototyping of IEEE a Baseband Processor

FPGA-based Prototyping of IEEE a Baseband Processor SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 1, No. 3, November 00, 15-136 FPGA-based Prototyping of IEEE 80.11a Baseband Processor Dejan M. Dramicanin 1, Dejan Rakic 1, Slobodan Denic 1, Veljko Vlahovic

More information

Design and Implementation of Digital Signal Processing Hardware for a Software Radio Reciever

Design and Implementation of Digital Signal Processing Hardware for a Software Radio Reciever Utah State University DigitalCommons@USU All Graduate Theses and Dissertations Graduate Studies 5-2008 Design and Implementation of Digital Signal Processing Hardware for a Software Radio Reciever Jake

More information

GENERIC SDR PLATFORM USED FOR MULTI- CARRIER AIDED LOCALIZATION

GENERIC SDR PLATFORM USED FOR MULTI- CARRIER AIDED LOCALIZATION Copyright Notice c 2009 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works

More information

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices August 2003, ver. 1.0 Application Note 306 Introduction Stratix, Stratix GX, and Cyclone FPGAs have dedicated architectural

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Project Background High speed multiplication is another critical function in a range of very large scale integration (VLSI) applications. Multiplications are expensive and slow

More information

Using Modern Design Tools To Evaluate Complex Communication Systems: A Case Study on QAM, FSK and OFDM Transceiver Design

Using Modern Design Tools To Evaluate Complex Communication Systems: A Case Study on QAM, FSK and OFDM Transceiver Design Using Modern Design Tools To Evaluate Complex Communication Systems: A Case Study on QAM, FSK and OFDM Transceiver Design SOTIRIS H. KARABETSOS, SPYROS H. EVAGGELATOS, SOFIA E. KONTAKI, EVAGGELOS C. PICASIS,

More information

Available online at ScienceDirect. Procedia Technology 17 (2014 )

Available online at   ScienceDirect. Procedia Technology 17 (2014 ) Available online at www.sciencedirect.com ScienceDirect Procedia Technology 17 (2014 ) 107 113 Conference on Electronics, Telecommunications and Computers CETC 2013 Design of a Power Line Communications

More information

Fast Fourier Transform utilizing Modified 4:2 & 7:2 Compressor

Fast Fourier Transform utilizing Modified 4:2 & 7:2 Compressor International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 11, Issue 05 (May 2015), PP.23-28 Fast Fourier Transform utilizing Modified 4:2

More information

Synthesis and Analysis of 32-Bit RSA Algorithm Using VHDL

Synthesis and Analysis of 32-Bit RSA Algorithm Using VHDL Synthesis and Analysis of 32-Bit RSA Algorithm Using VHDL Sandeep Singh 1,a, Parminder Singh Jassal 2,b 1M.Tech Student, ECE section, Yadavindra collage of engineering, Talwandi Sabo, India 2Assistant

More information

VHDL based Design of Convolutional Encoder using Vedic Mathematics and Viterbi Decoder using Parallel Processing

VHDL based Design of Convolutional Encoder using Vedic Mathematics and Viterbi Decoder using Parallel Processing IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 01 July 2016 ISSN (online): 2349-784X VHDL based Design of Convolutional Encoder using Vedic Mathematics and Viterbi Decoder

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

Abstract of PhD Thesis

Abstract of PhD Thesis FACULTY OF ELECTRONICS, TELECOMMUNICATION AND INFORMATION TECHNOLOGY Irina DORNEAN, Eng. Abstract of PhD Thesis Contribution to the Design and Implementation of Adaptive Algorithms Using Multirate Signal

More information

An Efficient Method for Implementation of Convolution

An Efficient Method for Implementation of Convolution IAAST ONLINE ISSN 2277-1565 PRINT ISSN 0976-4828 CODEN: IAASCA International Archive of Applied Sciences and Technology IAAST; Vol 4 [2] June 2013: 62-69 2013 Society of Education, India [ISO9001: 2008

More information

Signal Processing Using Digital Technology

Signal Processing Using Digital Technology Signal Processing Using Digital Technology Jeremy Barsten Jeremy Stockwell May 6, 2003 Advisors: Dr. Thomas Stewart Dr. Vinod Prasad Digital Signal Processor Project Description Design and Simulation of

More information

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay 1. K. Nivetha, PG Scholar, Dept of ECE, Nandha Engineering College, Erode. 2.

More information

PIPELINED VEDIC MULTIPLIER

PIPELINED VEDIC MULTIPLIER PIPELINED VEDIC MULTIPLIER Dr.M.Ramkumar Raja 1, A.Anujaya 2, B.Bairavi 3, B.Dhanalakshmi 4, R.Dharani 5 1 Associate Professor, 2,3,4,5 Students Department of Electronics and Communication Engineering

More information

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Design of Multiplier Less 32 Tap FIR Filter using VHDL International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of Multiplier Less 32 Tap FIR Filter using VHDL Abul Fazal Reyas Sarwar 1, Saifur Rahman 2 1 (ECE, Integral University, India)

More information

VLSI Implementation of Area-Efficient and Low Power OFDM Transmitter and Receiver

VLSI Implementation of Area-Efficient and Low Power OFDM Transmitter and Receiver Indian Journal of Science and Technology, Vol 8(18), DOI: 10.17485/ijst/2015/v8i18/63062, August 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 VLSI Implementation of Area-Efficient and Low Power

More information

EXPERIMENTS ON DESIGNING LOW POWER DECIMATION FILTER FOR MULTISTANDARD RECEIVER ON HETEROGENEOUS TARGETS

EXPERIMENTS ON DESIGNING LOW POWER DECIMATION FILTER FOR MULTISTANDARD RECEIVER ON HETEROGENEOUS TARGETS 17th European Signal Processing Conference (EUSIPCO 2009) Glasgow, Scotland, August 24-28, 2009 EXPERIMENTS ON DESIGNING LOW POWER DECIMATION FILTER FOR MULTISTANDARD RECEIVER ON HETEROGENEOUS TARGETS

More information

Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA

Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA Aiman Zakwan Jidin 1,2, Irna Nadira Mahzan 1, Nurulhalim Hassim 1, Ahmad Fauzan Kadmin 1 1 Faculty of Engineering Technology,

More information

Design of Efficient 64 Bit Mac Unit Using Vedic Multiplier

Design of Efficient 64 Bit Mac Unit Using Vedic Multiplier Design of Efficient 64 Bit Mac Unit Using Vedic Multiplier 1 S. Raju & 2 J. Raja shekhar 1. M.Tech Chaitanya institute of technology and science, Warangal, T.S India 2.M.Tech Associate Professor, Chaitanya

More information

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS 49 CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS 5.1 INTRODUCTION TO VHDL VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. The other widely used

More information

High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques

High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques T.Kranthi Kiran, Dr.PS.Sarma Abstract DPLLs are used widely in communications systems like radio, telecommunications,

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder Architecture for Canonic based on Canonic Sign Digit Multiplier and Carry Select Adder Pradnya Zode Research Scholar, Department of Electronics Engineering. G.H. Raisoni College of engineering, Nagpur,

More information

Keywords: CIC Filter, Field Programmable Gate Array (FPGA), Decimator, Interpolator, Modelsim and Chipscope.

Keywords: CIC Filter, Field Programmable Gate Array (FPGA), Decimator, Interpolator, Modelsim and Chipscope. www.semargroup.org, www.ijsetr.com ISSN 2319-8885 Vol.03,Issue.25 September-2014, Pages:5002-5008 VHDL Implementation of Optimized Cascaded Integrator Comb (CIC) Filters for Ultra High Speed Wideband Rate

More information

IMPLEMENTATION OF AREA EFFICIENT MULTIPLIER AND ADDER ARCHITECTURE IN DIGITAL FIR FILTER

IMPLEMENTATION OF AREA EFFICIENT MULTIPLIER AND ADDER ARCHITECTURE IN DIGITAL FIR FILTER ISSN: 0976-3104 Srividya. ARTICLE OPEN ACCESS IMPLEMENTATION OF AREA EFFICIENT MULTIPLIER AND ADDER ARCHITECTURE IN DIGITAL FIR FILTER Srividya Sahyadri College of Engineering & Management, ECE Dept, Mangalore,

More information

SPECIFICATION AND DESIGN OF A PROTOTYPE FILTER FOR FILTER BANK BASED MULTICARRIER TRANSMISSION

SPECIFICATION AND DESIGN OF A PROTOTYPE FILTER FOR FILTER BANK BASED MULTICARRIER TRANSMISSION SPECIFICATION AND DESIGN OF A PROTOTYPE FILTER FOR FILTER BANK BASED MULTICARRIER TRANSMISSION Maurice G. Bellanger CNAM-Electronique, 9 rue Saint-Martin, 754 Paris cedex 3, France (bellang@cnam.fr) ABSTRACT

More information

Mapping Multiplexers onto Hard Multipliers in FPGAs

Mapping Multiplexers onto Hard Multipliers in FPGAs Mapping Multiplexers onto Hard Multipliers in FPGAs Peter Jamieson and Jonathan Rose The Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Modern FPGAs Consist

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

IP-PSK-DEMOD4. BPSK, QPSK, 8-PSK Demodulator for FPGA FEATURES DESCRIPTION APPLICATIONS HARDWARE SUPPORT DELIVERABLES

IP-PSK-DEMOD4. BPSK, QPSK, 8-PSK Demodulator for FPGA FEATURES DESCRIPTION APPLICATIONS HARDWARE SUPPORT DELIVERABLES BPSK, QPSK, 8-PSK Demodulator for FPGA v1.3 FEATURES Multi-mode Phase Shift Keyed demodulator supports BPSK, QPSK, 8-PSK Symbol rates up to 682.5 KSPS Matched filtering with programmable Root Raised Cosine

More information

Implementation of Space Time Block Codes for Wimax Applications

Implementation of Space Time Block Codes for Wimax Applications Implementation of Space Time Block Codes for Wimax Applications M Ravi 1, A Madhusudhan 2 1 M.Tech Student, CVSR College of Engineering Department of Electronics and Communication Engineering Hyderabad,

More information

HIGH SPURIOUS-FREE DYNAMIC RANGE DIGITAL WIDEBAND RECEIVER FOR MULTIPLE SIGNAL DETECTION AND TRACKING

HIGH SPURIOUS-FREE DYNAMIC RANGE DIGITAL WIDEBAND RECEIVER FOR MULTIPLE SIGNAL DETECTION AND TRACKING HIGH SPURIOUS-FREE DYNAMIC RANGE DIGITAL WIDEBAND RECEIVER FOR MULTIPLE SIGNAL DETECTION AND TRACKING A thesis submitted in partial fulfillment of the requirements for the degree of Master of Science in

More information

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA.

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA. Volume 4, Issue 11, November 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Future to

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information