A CASE STUDY COMPARING TRADITION TO MODEL-BASED RAPID DEVELOPMENT OF SDR WAVEFORMS PART II

Size: px
Start display at page:

Download "A CASE STUDY COMPARING TRADITION TO MODEL-BASED RAPID DEVELOPMENT OF SDR WAVEFORMS PART II"

Transcription

1 A CASE STUDY COMPARING TRADITION TO MODEL-BASED RAPID DEVELOPMENT OF SDR WAVEFORMS PART II David Haessig, Robert Regis (BAE Systems, NES, Wayne NJ, USA, david.haessig, Mark Hermeling (Zeligsoft, Copyright Transfer Agreement: The following Copyright Transfer Agreement must be included on the cover sheet for the paper (either or fax) not on the paper itself. The authors represent that the work is original and they are the author or authors of the work, except for material quoted and referenced as text passages. Authors acknowledge that they are willing to transfer the copyright of the abstract and the completed paper to the SDR Forum for purposes of publication in the SDR Forum Conference Proceedings, on associated CD ROMS, on SDR Forum Web pages, and compilations and derivative works related to this conference, should the paper be accepted for the conference. Authors are permitted to reproduce their work, and to reuse material in whole or in part from their work; for derivative works, however, such authors may not grant third party requests for reprints or republishing. Government employees whose work is not subject to copyright should so certify. For work performed under a U.S. Government contract, the U.S. Government has royalty-free permission to reproduce the author's work for official U.S. Government purposes.

2 A CASE STUDY COMPARING TRADITION TO MODEL-BASED RAPID DEVELOPMENT OF SDR WAVEFORMS PART II David Haessig, Robert Regis (BAE Systems, NES, Wayne NJ, USA, david.haessig, Mark Hermeling (Zeligsoft, ABSTRACT In [1], the authors compare traditional RTL design flow to that of model-based design, applying both to a common problem implementation of the physical layer of SATCOM waveform MIL-STD a. They report a 10:1 improvement in productivity in the areas of algorithm simulation testing, code generation, and waveform integration. That study carried the comparison through to the point of hardware-in-the-loop testing, each design implemented autonomously in a single FPGA node, looping back the transmit signal to the receiver. In the current paper we describe an effort to complete the waveform implementation thru interoperability with another node, a COTS modem. Finally, a paradigm for creating SCAcompliant FPGA-hosted components via auto-code generation is proposed, and the impact and implications that this can have on code reuse is discussed. 1. INTRODUCTION Field-Programmable-Gate Arrays (FPGAs) are increasingly used in the implementation of software-defined radios (SDRs), particularly for the implementation of the baseband processes in wideband modems. This is due to the fact that they are inherently reconfigurable at runtime, a feature clearly required of SDRs and not possible with Application Specific Integrated Circuits (ASICs). It is also something that allows them to be more amenable, to some degree, to the Software Communications Architecture (SCA) standard required of JTRS Radios. To encourage their use as an alternative to the ASIC, FPGA developers have produced a suite of development tools and programming methods that closely mirror existing ASICs design flows. This has had the effect of discouraging entry into the pool of FPGA developers, somewhat limiting this pool to those already having a background in chip design. In the last six years, however, this situation has been changing due to the emergence of a new class of FPGA programming flows based on high level modeling in Matlab, Simulink, C, and UML. Those that function within the Simulink environment include the Xilinx System Generator for DSP TM, the Altera DSP Builder TM, and Synplicity s Synplify DSP TM. These are in essence opening a new path to RTL (Register Transfer Level) design by ing an itional layer of abstraction to the layer already provided by VHDL (or Verilog). This is making it easier for a larger audience to become FPGA developers, and increasing developer productivity, especially in the area of modem development [1]. It is interesting to note the type of developers who, from this author s viewpoint, are using the tool. One group that is more readily accepting the tool are the algorithm developers, those who may lack expertise in the traditional ASIC hardware design flow, but have a good knowledge of DSP, Matlab and Simulink. For this group these tools provide a pathway to architecting, synthesizing, and valiing high performance algorithms on real hardware. The other group is the traditional FPGA designer who is well versed in the more deeply entrenched methods of RTL development. For them these tools are often accepted more slowly, particularly because these individuals can already produce the FPGAhosted functionality they need by using methods they already know quite well and also because they see shortcomings in the tools, either real or perceived due to their inexperience. Nevertheless, this relatively new FPGA development flow based on model-based design is proving to provide significant productivity improvements over traditional methods [2,3,4,5], not only through the avoidance of hand-coding, but by enabling better exploration of the architecture space, by combining into a single model the models used for algorithm development and the model used for code generation, and by creating a better environment for joint development of the algorithm, the code, and the test vectors involved in producing embedded DSP on FPGAs. Recently [1,4] BAE Systems and Xilinx took advantage of a unique opportunity to compare the new and traditional RTL design methodologies discussed above. Two development efforts were run in parallel, both seeking to implement a subset of the SATCOM waveform, MIL-STD a, on a pair of software-defined radio platforms. In both cases the individuals working these efforts were experts in the design methodology they employed. In ition, both had access to an identical set of IP cores, therefore keeping the comparison fair. The effort for each design was measured in man-hours, each developer logging their time as well as noting features of the design flow that were particularly easy or troublesome. The results of the study were quite remarkable the design developed with modelbased design produced in less than 1/10th the total hours of

3 the traditional approach! This is a result that is not only quite amazing, but often also received understandably with caution and skepticism. Can one conclude from these results that model-based RTL development will consistently achieve similar savings when developing the physical layer of software defined radios? I think it safe to say that this example yielded a productivity improvement result that is on the high side of the typical or average result. Nevertheless, it shows that in cases such as these, model-based design can very significantly improve the process of RTL development. Additional studies are needed to hone in on the typical improvement achievable in modem development. To this end, we are working to continue the MIL-STD a case study, carrying it through the implementation of a complete receiver and transmitter (not simply a loop back within a single FPGA), a transceiver capable of transmitting and receiving from another node, and therefore including all needed receiver tracking functions -- carrier, phase, gain and bit tracking. To prove this, a subsequent goal is interoperation of the transmitter and receiver with a COTS MIL a modem, a modem known to adhere to the standard, the Radyne DMD2050. Thus we are connecting the Radyne with a LyrTech FPGA platform hosting the BAE developed implementation of that same waveform. Section 2 describes this ongoing work and the experience that one particular author had in delving into System Generator to accomplish it. A secondary goal of the effort is the production of a test bench for evaluation of new methods for creation of SCAcompliant components hosted on FPGAs. An approach is proposed in Section 3 which resses a key impediment to the hosting of SCA components on FPGAs inefficiency. 2. IMPLEMENTATION RESULTS An objective this case study (Part II) is to capture the efforts required to design, code, and integrate on hardware a MIL- 188 waveform capable of interoperating with another modem, comparing the model-based design flow being applied currently with the traditional design flow applied to this same problem done one year earlier. One author, who is an expert in traditional RTL design flow, developed the original design using those methods with which he is very familiar. He also did the work described below to produce a very similar design using model-based design. Thus we have the unique opportunity have the same individual perform both designs, thereby removing the variability associated with the capabilities of two different individuals. This also afforded us the opportunity to assess SysGen from the viewpoint of a new user having extensive RTL development experience but little model-based or SysGen experience. The following sections describe the startup process, the waveform design, and the noted benefits/issues that occurred Getting Started Conversion from RTL to Modelbased Developer Starting with a digital hardware design background and VHDL firmware experience meant that three new software tools had to be learned. Learning the Matlab language and its companion graphic modeling tool Simulink, both from MathWorks, was the first step. With sufficient software experience the new language is easy to learn and there are hundreds of books that teach it or include examples. The user doesn t need a strong understanding of Matlab before moving on to learning Simulink, and it is very convenient to use them together. A class in Simulink just scratches the surface of what the tool can do, but for the purposes of Sysgen, much of complexity and details can be skipped. Mastery of Matlab and Simulink really requires practice and individual concentration on the specialized toolbox and blocksets applicable to your discipline. The number of functions, parameters, and examples available could take a life time to learn. Nevertheless, the circuit design engineer can get started faster if he can concentrate on the hardware aspects of Sysgen while teamed with a system engineer with more of a mathematical background and presumably years of experience in signal processing analysis and modeling. The three day Xilinx DSP Design Flow class was intense and essential to getting started. There are a lot of new concepts and features that would be hard to pickup on your own. Topics included familiarization with the library of building blocks, number representation, clock enables, simulation, and synthesis. Even with the training it took a bit of practice and thinking how to best apply this tool to the design at hand The Waveform The current design goal is to implement a subset of the Department of Defense standard MIL-STD A for satellite communication, including BPSK, QPSK, and OQPSK waveforms. The approach has been to start off with the simplest BPSK waveform and expand the design in stages until a full implementation and compliance is met. The design accommoes numerous selectable a rates up to 10 Mbps and includes various coding options including differential encoding, convolutional FEC encoding, and Reed Solomon encoding. The Lyrtech VHS ADC V4 board with a DAC -on contains a Xilinx Virtex-4 FPGA, a fixed 104MHz oscillator, ADC and DAC channels clocked at the 104 Msps rate. Lyrtech supplies a board support package that specifically handles Sysgen designs providing a wrapper with all necessary interfaces to control via software and the cpci bus and access to various digital I/O in ition to the ADC and DAC chips. This arrangement allows not only

4 remote configuration of the FPGA but also Hardware in the Loop simulations in conjunction with Simulink. Due to other priorities, this project began only one month prior to this writing. Consequently, our initial interoperability goal has been restricted to that of the transmiter, as it is naturally less complex than the receive side. In the transmitter, shown in Figure 2.1, the circuit design generates the programmable a rate clock and registers the a input into a FIFO. Buffering is necessary because the a rates are programmed with a resolution that is not achievable by the simple integer division of the sampling clock. The a is clocked out of the FIFO, scrambled, differentially encoded, and optionally FEC encoded by a one half rate convolutional encoder. The a rate is either unchanged or double the initial rate at this point. Reed Solomon block coding and interleaving can be ed. This presents a complication because the check symbols ed to the a by Reed Solomon results in an increase in a rate that is not an integer but an awkward ratio of numbers. If the information a block size were 239 bytes and the number of check bytes ed were 16, then for every 239 byte in 255 bytes would shift out. The encoded a rate in, this fictitious example would grow by 255/239 which is approximately A fractional ratio guarantees that you won t get a conveniently commensurate relationship between the a rate and the sampling rate clock. Interpolation will be used extensively to not only increase the sampling rate but also to alter it to an integer submultiple of the unrelated sampling clock. To align the signal samples running at four times the a rate to the incommensurate rate of the DAC clock we need to perform a rate change through the a programmable interpolator. Interpolators can multiply the sample rate of a bandlimited signal by an integer or even by a rational ratio, e.g. P/Q, if needed to produce a new sampled signal running at the desired rate. In this case we need the interpolator to take pulse shaped a signal sampled at four times the a rate and bring it up to an integer multiple of the half the sampling rate, i.e. 52 MHz. The interpolator used in this design multiplies the a by a factor of 1040/Q and is then followed by a fixed times two interpolator. This cascade of filters and interpolators results in a programmable a rate of 4 * 1040/Q * 2 which results in the exact DAC clock sampling rate of 104 MHz. The result of these figures is that for any non-zero positive integer value of Q the a rate can be programmed with a resolution of 12.5Kbps. With RS compensating Interpolator Data Exactly 62.5 kbps to 5.9 Mbps in steps of 12.5kbps for all FEC modes 12,500x Q RS FEC Conv FEC Conv =12.5KHz to 11.9 MHz 6,250 x Q =6.25KHz to 5.96 MHz RS BPSK Mod x 2 Pulse Shape FIR x 1040 Q Programable Interpolation P/Q for Q = 1 to 954 x 1040 down x M N Switched Interp x1 or M/N x 4 Fixed Interp TX DAC Samples fs = 104 MHz Figure 2.1 Transmit Diagram 2.3 Benefits/Issues when Designing with SysGen The first step in recording and communicating the design is to form a series of block diagrams in a tool like Visio for instance. This is the natural way the human brain works most efficiently. In ition, it is why board level circuit schematics continue to be drawn and why a programmer or VHDL designers often resort to drawing a sketch to clarify a point. So there are strong reasons to desire an effective design graphically based design tool for firmware and good reason to assume that better productivity can result. Schematic based design tools for firmware exist but have not dominated the industry for a number of reasons. Text based design using VHDL and Verilog hardware design languages still dominate despite the fact that they can be unnatural and tedious. Despite these obvious disadvantages, they are widely used because of the perceived advantages in the areas of standardization, reuse, portability, and wide spread knowledge base. Unfortunately even with the latest refinements available from competing vendors, these tools can t provide the level of productivity desired. Wider spread availability and diversification of IP blocks has provided the last significant boost in productivity with this methodology. Sysgen brings a lot more to the table than the schematic capture tools ever attempted while providing all the natural desirability of a graphical tool. Matlab, Simulink, Sysgen, and ISE essentially form an integrated design environment (IDE) optimized for the development of signal processing models and implementation. You can calculate filter coefficients easily with numerous design functions in Matlab. You can then paste the values or the formulas directly into the parameter dialogs of the Sysgen blocks. You can then simulate a combination of synthesizable models in parallel with conventional Simulink models and

5 compare them on a waveform display modeled after an oscilloscope. You can capture the results into the Matlab workspace and immediately plot them or their spectrum. The flow from simulation to design to evaluation and verification can be very fluid and represents a breakthrough in a fully integrated development environment. The long sought holy grail of closing the gap between system engineering analysis and hardware design engineering may finally have arrived. If the communication system signal processing system engineer with strengths in mathematics and modeling can successfully communicate and share a common understanding and design with the hardware design engineer, a lot less information and mistakes will be made. Typically a system engineer s design is simulated and reported, and his job is done. The hardware engineer picks it up and inevitably starts his design from a partial understanding of what was accomplished with a set of tools that operate in the implementation domain with absolutely no semblance to the original model. The model is necessarily discarded and the final hardware design can not be verified against the original model that the system engineer worked so hard to perfect. With Sysgen the diagram is the model, which is simulated and analyzed with Simulink and Matlab, and it is also the firmware design that is generated and also simulated to verify successful translation. Design errors in the model are found and corrected earlier in the design process and the use of this same model through the implementation can be verified to be identical. The discovery of defects during the hardware design, or worse, after the product is completed because of faulty verification can be very costly. Many have been seeking the ultimate tool that accepts a design drawing and by pushing the magical button, the hardware automatically appears. We may never perfect this process but we may be close enough to make significant improvements in productivity and life cycle cost reduction. Sysgen does a number of things behind the scenes that can save time. One has to know how it handles different clocking rates. Good design practice dictates that you design entirely synchronously and minimize the number of clock domains. Sysgen assumes that you are using one clock for the entire design, or subdesign. Within this clock domain it generates the appropriate clock enables at any integer submultiple of the clock invisibly. If you have an interpolating filter that produces four outputs for every input sample the clock rate divided by one and divided by four clock enables are automatically generated. Also if you insert this filter block into the design and run the input rate at the full clock rate it will generate an error. The filter needs the output clock to run at four times the input rate and that wouldn t be possible if the input rate is running at the full rate. If you are not entirely familiar with the internal design of a block, this can surprise you. Sometimes it is not that easy to determine why the error is happening but it usually can be avoided if the author of the block reveals the clocking requirements in help text. We encountered these errors quite often while learning to use the tool and applying FIR filter components that were predesigned and provided by the library. Although Sysgen excels at signal processing signal flows, there was skepticism initially about how well it would handle other functions like control timing, state machines and the like. One could certainly continue using a VHDL top level design and inserting signal processing subsystems designed with Sysgen as needed. When something a bit more complex is needed like a BER detector and counter, the choice depends on which tool the individual designer feels most comfortable using. There was also the question of whether a state machine which is clearly a control mechanism can effectively be written and debugged in Sysgen. Mcode blocks seem to have solved this problem, allowing you to use a subset of Matlab code which is just as descriptive and well documented as the VHDL version. The interested reader is referred to the presentation material for the quantitative comparison of the two design flows when applied through interoperability. 3. AN APPROACH FOR FPGA-HOSTED SCA COMPONENTS The US DoD is sponsoring the development of the Joint Tactical Radio System (JTRS), a family of SDRs requiring the use of a software module interface standard called the Software Communications Architecture, or SCA [6,7]. The goal of the SCA is to enable software portability, thereby promoting software reuse across platforms, reducing costs, shortening development schedules, and quickening the incorporation of new processing devices as they become available. The SCA as originally designed applies to General Purpose Processors (GPPs) which have sufficient resources to support a CORBA transport interface between components. Several different approaches have been suggested to extend the SCA to Special Hardware Processors (SHPs). We will look at the simplest approach that will enable us to integrate the functionality generated by SysGen into an SCA compliant application. The focus of this discussion shall be an architecture involving a single GPP connected to one or more FPGAs. An often heard complaint leveled toward the SCA is that of code or processor inefficiency [8]. Inefficiency impacts power consumption, size, and cost, none of which can be spared particularly in handheld devices. This is especially true with FPGAs. In many applications there are often little to no resources available for a CORBA-type of interface. Another issue directly related to inefficiency is the complexity of the interface. FPGA interfaces have

6 traditionally been quite simple. For example, in an older family of BAE radios the interface is just clock and a, with a single bit value clocked in at a steady clock rate. There is probably no interface that is simpler or uses fewer FPGA resources. As a rate requirements have increased, high performance serial transport links are being incorporated such as RapidIO and PCI Express. Allowing the FPGA designer to select the a interface type appropriate for the design ensures processor efficiency, as an appropriately sized interface can be used. Code portability and reuse can be achieved by standardizing on the interface design as described below. Another problematic notion for SCA compliant FPGAs is that of runtime component deployment and connectivity. The use of multiple SCA components in a single FPGA connected at runtime does not fit with the FPGA design flow. It implies that the synthesis, mapping, and the place & route operations be performed at the radio node, which is impractical as the radio nodes will often not have the processing resources needed to accomplish those tasks which can take hours even on a powerful workstation. Runtime deployment can be anticipated though. Keep in mind that every possible deployment involves a mapping of components to processors. Each of these deployments needs to be tested before the SCA waveform is released. Hence an FPGA loadable bit-stream can be created a-priori for each of these deployments. Unanticipated designs would be built when defined and uploaded through the network. Another option to consider is the use of partially reconfigurable FPGAs with a single component per reconfigurable area and CORBA interfaces between them. A single reconfigurable FPGA is comparable to multiple non-reconfigurable FPGAs, hence this a-priori placing and routing will still be sufficient. SCA Enabling RTL logic involves three major steps: 1 Communicating a to and from the RTL logic 2 Providing the RTL logic with values for it s configurable properties 3 Somehow implementing the CORBA CF::Resource interface for the RTL logic One of the proposed standards for SCA-enabling FPGAs is the Component Portability Standard (CPS, also known as CP289) [9,10]. It provides guidelines as to how to implement the three steps mentioned above. CPS discusses the use of the Open Cores Protocol (OCP) as a basis for interface definition since it is bus, technology, and language independent. The proposed approach, embracing that idea, is as follows: Allow the selection of the FPGA interface type appropriate to the design, thereby having minimal if any impact on efficiency. Define the interface layout using OCP as a guide and apply that to all SCA components to be hosted on that FPGA, thereby enabling reuse Use the CPS idea of a global proxy to encapsulate the RTL logic as a single SCA component, thereby having no impact to the SCA with regard to the FPGA-hosted component, As a tutorial example of this approach, consider how it is being applied in the design of the MIL-STD-188 waveform. In that application, Dual Port was selected as the interface to carry a into and out of the transmitter and receiver. As shown in Figure 3.1, a single SCA component is contained in the FPGA, with a global proxy creating the SCA interface and including access to the a and ress busses connected to the FPGA hosted. The entire RTL logic, including the Dual Port, is being constructed in SysGen. Note that this approach can be extended to allow for a high speed link, for example, PCI Express, by placing the associated core in the a flow path. The content of, i.e. the memory map, is defined to include 4 segments: Configuration Parameters, Control and Status Words, and Data. The Configuration Parameters are read by the proxy to understand the interface and device operation. Control & Status Words are defined to contain the OCP suggested control flags (initialize, start, run, stop, release, configure, test), and the status flags (init done, release done, config done, test passed), with port control handled as suggested in Figure 3.2. A single proxy component can interface with any FPGA module written to this standard. A new waveform definition with a different FEC module, for example, built to this same standard, permits the proxy to be reused. In ition, the source code in the form of the SysGen model is also reused, although modified in accordance with the new FEC scheme. Note that this approach, applied here with, can just as easily be applied with other common interface types, such as a FIFO, PCI Express, or others. Another potential advantage of precise definition of the interface content is the potential for auto-generating the GPP code implementing the proxy. 4. CONCLUSIONS RTL development using the model-based design flow is contrasted to the traditional design flow in this continuing case study involving MIL-STD a. This paper describes the work to complete the waveform development through interoperability with a COTS modem, logging the time consumed by the effort and comparing that to a prior, traditional-flow effort. A method for design of FPGAhosted SCA components is provided. This method has a significant advantage over those that place CORBA-like constructs into the FPGA, having minimal impact on

7 resource efficiency and allowing reuse by creation of interface standards. GPP FPGA ADC DAC SCA Component GPP Radio Software Proxy r a we control DP Business Logic clock Figure 3.1 The MIL-188 example shown using a dual-port ram interface, one of many possible interface types; note a single SCA component per FPGA ADC DAC w DP In DSP Logic w DP Out Ready_for_ G Device Controller Ready_to_sen Don Figure 3.2 MIL-188 FPGA block diagram with dual-port ram interfaces containing a and OCP defined control, status, and configuration parameters, memory mapped within 5. REFERENCES [1] Haessig, D., et.al., A Case-Study of the Xilinx System Generator Design Flow for Rapid Development of SDR Waveforms, SDR Forum Technical Conference, Orange County, CA, Nov [2] Mathworks User Story, Nissan Develops Emission Reduction System for Mass-Production Vehicles Using MathWorks Tools, user_stories/userstory7614.html?by=industry [3] Mathworks User Story, RealTek Gains 50% of Market Share with a New Audio Chip Designed with MathWorks Tools, userstory4685.html [4] M. McHenry and D. Raun, BAE Systems Proves the Advantages of Model-Based Design, /sept/bae.html?_cid=mld0906naad3ta1 [5] C. Dick and J. Hwang, FPGAs: A Platform-Based Approach to Software Defined Radios, Chapter in Software Defined Radio: Baseband Technologies for 3G Handsets and Basestations, John Wiley & Sons, Ltd, [6] JTRS Overview, /fset_overview.html [7] SCA Technical Overview, technicalinformation/fset_technical_sca.html [8] G. Bishoff, SDR might be turning a corner, MTR Bulletin, V4, N40, August [9] JTRS Program Office, Extension for component portability for Specialized Hardware Processors (SHP) to the JTRS SCA Specification (a.k.a. CP 289), v3.1, 17Mar05. [10] J. Kulp, M. Bicer, Integrating Specialized Hardware to JTRS/SCA Software Defined Radios, Milcom 2005.

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Steven W. Cox Joel A. Seely General Dynamics C4 Systems Altera Corporation 820 E. McDowell Road, MDR25 0 Innovation Dr Scottsdale, Arizona

More information

DYNAMICALLY RECONFIGURABLE SOFTWARE DEFINED RADIO FOR GNSS APPLICATIONS

DYNAMICALLY RECONFIGURABLE SOFTWARE DEFINED RADIO FOR GNSS APPLICATIONS DYNAMICALLY RECONFIGURABLE SOFTWARE DEFINED RADIO FOR GNSS APPLICATIONS Alison K. Brown (NAVSYS Corporation, Colorado Springs, Colorado, USA, abrown@navsys.com); Nigel Thompson (NAVSYS Corporation, Colorado

More information

PORTING OF AN FPGA BASED HIGH DATA RATE DVB-S2 MODULATOR

PORTING OF AN FPGA BASED HIGH DATA RATE DVB-S2 MODULATOR Proceedings of the SDR 11 Technical Conference and Product Exposition, Copyright 2011 Wireless Innovation Forum All Rights Reserved PORTING OF AN FPGA BASED HIGH DATA RATE MODULATOR Chayil Timmerman (MIT

More information

Spectrum Detector for Cognitive Radios. Andrew Tolboe

Spectrum Detector for Cognitive Radios. Andrew Tolboe Spectrum Detector for Cognitive Radios Andrew Tolboe Motivation Currently in the United States the entire radio spectrum has already been reserved for various applications by the FCC. Therefore, if someone

More information

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM 1 J. H.VARDE, 2 N.B.GOHIL, 3 J.H.SHAH 1 Electronics & Communication Department, Gujarat Technological University, Ahmadabad, India

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

DTP4700 Next Generation Software Defined Radio Platform

DTP4700 Next Generation Software Defined Radio Platform DTP4700 Next Generation Software Defined Radio Platform Spectra DTP4700 is a wideband, high-performance baseband and RF Software Defined Radio (SDR) development and test platform. Spectra DTP4700 supports

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

DEVELOPMENT OF LOW-COST PUBLIC SAFETY P25 WAVEFORM IN AN OSSIE ENVIRONMENT WITH USRP

DEVELOPMENT OF LOW-COST PUBLIC SAFETY P25 WAVEFORM IN AN OSSIE ENVIRONMENT WITH USRP Proceedings of the SDR 11 Technical Conference and Product Exposition, Copyright 2011 Wireless Innovation Forum All Rights Reserved DEVELOPMENT OF LOW-COST PUBLIC SAFETY P25 WAVEFORM IN AN OSSIE ENVIRONMENT

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

TECHNIQUES AND RECOMMENDATIONS TO IMPROVE WAVEFORM PORTABILITY

TECHNIQUES AND RECOMMENDATIONS TO IMPROVE WAVEFORM PORTABILITY TECHNIQUES AND RECOMMENDAONS TO IMPROVE WAVEFORM PORTABILITY Scott Macejak, David Maldonado, Jim Agniel (L-3 Communications Nova Engineering, Cincinnati, OH, USA; Scott.Macejak@, David.Maldonado@, Jim.Agniel@L-3Com.com)

More information

STRS COMPLIANT FPGA WAVEFORM DEVELOPMENT

STRS COMPLIANT FPGA WAVEFORM DEVELOPMENT STRS COMPLIANT FPGA WAVEFORM DEVELOPMENT Jennifer Nappier (Jennifer.M.Nappier@nasa.gov); Joseph Downey (Joseph.A.Downey@nasa.gov); NASA Glenn Research Center, Cleveland, Ohio, United States Dale Mortensen

More information

A GENERIC ARCHITECTURE FOR SMART MULTI-STANDARD SOFTWARE DEFINED RADIO SYSTEMS

A GENERIC ARCHITECTURE FOR SMART MULTI-STANDARD SOFTWARE DEFINED RADIO SYSTEMS A GENERIC ARCHITECTURE FOR SMART MULTI-STANDARD SOFTWARE DEFINED RADIO SYSTEMS S.A. Bassam, M.M. Ebrahimi, A. Kwan, M. Helaoui, M.P. Aflaki, O. Hammi, M. Fattouche, and F.M. Ghannouchi iradio Laboratory,

More information

Audio Sample Rate Conversion in FPGAs

Audio Sample Rate Conversion in FPGAs Audio Sample Rate Conversion in FPGAs An efficient implementation of audio algorithms in programmable logic. by Philipp Jacobsohn Field Applications Engineer Synplicity eutschland GmbH philipp@synplicity.com

More information

FPGA-based Prototyping of IEEE a Baseband Processor

FPGA-based Prototyping of IEEE a Baseband Processor SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 1, No. 3, November 00, 15-136 FPGA-based Prototyping of IEEE 80.11a Baseband Processor Dejan M. Dramicanin 1, Dejan Rakic 1, Slobodan Denic 1, Veljko Vlahovic

More information

The Application of System Generator in Digital Quadrature Direct Up-Conversion

The Application of System Generator in Digital Quadrature Direct Up-Conversion Communications in Information Science and Management Engineering Apr. 2013, Vol. 3 Iss. 4, PP. 192-19 The Application of System Generator in Digital Quadrature Direct Up-Conversion Zhi Chai 1, Jun Shen

More information

Implementing DDC with the HERON-FPGA Family

Implementing DDC with the HERON-FPGA Family HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)1278 760188, Fax: (+44) (0)1278 760199, Email: sales@hunteng.demon.co.uk URL: http://www.hunteng.co.uk Implementing

More information

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online): 2321-0613 Realization of Variable Digital Filter for Software Defined Radio Channelizers Geeta

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

OQPSK COGNITIVE MODULATOR FULLY FPGA-IMPLEMENTED VIA DYNAMIC PARTIAL RECONFIGURATION AND RAPID PROTOTYPING TOOLS

OQPSK COGNITIVE MODULATOR FULLY FPGA-IMPLEMENTED VIA DYNAMIC PARTIAL RECONFIGURATION AND RAPID PROTOTYPING TOOLS Proceedings of SDR'11-WInnComm-Europe, 22-24 Jun 2011 OQPSK COGNITIVE MODULATOR FULLY FPGA-IMPLEMENTED VIA DYNAMIC PARTIAL RECONFIGURATION AND RAPID PROTOTYPING TOOLS Raúl Torrego (Communications department:

More information

Software-Defined Radio using Xilinx (SoRaX)

Software-Defined Radio using Xilinx (SoRaX) SoRaX-Page 1 Software-Defined Radio using Xilinx (SoRaX) Functional Requirements List and Performance Specifications By: Anton Rodriguez & Mike Mensinger Project Advisors: Dr. In Soo Ahn & Dr. Yufeng Lu

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 What s Behind 5G Wireless Communications? 서기환과장 2015 The MathWorks, Inc. 2 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile

More information

Design and Implementation of Software Defined Radio Using Xilinx System Generator

Design and Implementation of Software Defined Radio Using Xilinx System Generator International Journal of Scientific and Research Publications, Volume 2, Issue 12, December 2012 1 Design and Implementation of Software Defined Radio Using Xilinx System Generator Rini Supriya.L *, Mr.Senthil

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

SDR TESTBENCH FOR SATELLITE COMMUNICATIONS

SDR TESTBENCH FOR SATELLITE COMMUNICATIONS SDR TESTBENCH FOR SATELLITE COMMUNICATIONS Kris Huber (Array Systems Computing Inc., Toronto, Ontario, Canada, khuber@array.ca); Weixiong Lin (Array Systems Computing Inc., Toronto, Ontario, Canada). ABSTRACT

More information

Using an FPGA based system for IEEE 1641 waveform generation

Using an FPGA based system for IEEE 1641 waveform generation Using an FPGA based system for IEEE 1641 waveform generation Colin Baker EADS Test & Services (UK) Ltd 23 25 Cobham Road Wimborne, Dorset, UK colin.baker@eads-ts.com Ashley Hulme EADS Test Engineering

More information

Hardware Implementation of Automatic Control Systems using FPGAs

Hardware Implementation of Automatic Control Systems using FPGAs Hardware Implementation of Automatic Control Systems using FPGAs Lecturer PhD Eng. Ionel BOSTAN Lecturer PhD Eng. Florin-Marian BÎRLEANU Romania Disclaimer: This presentation tries to show the current

More information

THE APPROACH OF SELEX COMMUNICATIONS ON SOFTWARE DEFINED RADIO

THE APPROACH OF SELEX COMMUNICATIONS ON SOFTWARE DEFINED RADIO THE APPROACH OF SELEX COMMUNICATIONS ON SOFTWARE DEFINED RADIO Loris Schettino (SELEX Communications, Pomezia (Rome), Italy, loris.schettino@selex-comms.com ); Virgilio Cruciani (SELEX Communications,

More information

BPSK System on Spartan 3E FPGA

BPSK System on Spartan 3E FPGA INTERNATIONAL JOURNAL OF INNOVATIVE TECHNOLOGIES, VOL. 02, ISSUE 02, FEB 2014 ISSN 2321 8665 BPSK System on Spartan 3E FPGA MICHAL JON 1 M.S. California university, Email:santhoshini33@gmail.com. ABSTRACT-

More information

Practical Use of Reconfigurable Radios in Air Combat Training Systems

Practical Use of Reconfigurable Radios in Air Combat Training Systems Your Mission Our Commitment Practical Use of Reconfigurable Radios in Air Combat Training Systems SDR 11 - WInnComm 2011 Presentation 10 February 2011 Michael Cary, DRS TCS Program Manager Mcary@drs-ds.com

More information

From Antenna to Bits:

From Antenna to Bits: From Antenna to Bits: Wireless System Design with MATLAB and Simulink Cynthia Cudicini Application Engineering Manager MathWorks cynthia.cudicini@mathworks.fr 1 Innovations in the World of Wireless Everything

More information

NGP-N ASIC. Microelectronics Presentation Days March 2010

NGP-N ASIC. Microelectronics Presentation Days March 2010 NGP-N ASIC Microelectronics Presentation Days 2010 ESA contract: Next Generation Processor - Phase 2 (18428/06/N1/US) - Started: Dec 2006 ESA Technical officer: Simon Weinberg Mark Childerhouse Processor

More information

DEVELOPING AN SCA GSM WAVEFORM TARGETED ON A DSP/FPGA ARCHITECTURE

DEVELOPING AN SCA GSM WAVEFORM TARGETED ON A DSP/FPGA ARCHITECTURE DEVELOPIN AN SCA SM WAVEFORM AREED ON A DSP/FPA ARCHIECURE Louis Bélanger (Lyrtech inc., Quebec, Quebec, Canada louis.belanger@lyrtech.com) ABSRAC High-level frameworks such as the SCA (Software Communication

More information

Practical Use of Reconfigurable Radios in Air Combat Training Systems

Practical Use of Reconfigurable Radios in Air Combat Training Systems Proceedings of the SDR 11 Technical Conference and Product Exposition, Copyright 2011 Wireless Innovation Forum All Rights Reserved Practical Use of Reconfigurable Radios in Air Combat Training Systems

More information

Experience Report on Developing a Software Communications Architecture (SCA) Core Framework. OMG SBC Workshop Arlington, Va.

Experience Report on Developing a Software Communications Architecture (SCA) Core Framework. OMG SBC Workshop Arlington, Va. Communication, Navigation, Identification and Reconnaissance Experience Report on Developing a Software Communications Architecture (SCA) Core Framework OMG SBC Workshop Arlington, Va. September, 2004

More information

An FPGA Case Study: Narrowband COFDM Video Transceiver for Drones, UAV, and UGV. Produced by EE Times

An FPGA Case Study: Narrowband COFDM Video Transceiver for Drones, UAV, and UGV. Produced by EE Times An FPGA Case Study: Narrowband COFDM Video Transceiver for Drones, UAV, and UGV #eelive Produced by EE Times An FPGA Case Study System Definition Implementation Verification and Validation CNR1 Narrowband

More information

Partial Reconfigurable Implementation of IEEE802.11g OFDM

Partial Reconfigurable Implementation of IEEE802.11g OFDM Indian Journal of Science and Technology, Vol 7(4S), 63 70, April 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Partial Reconfigurable Implementation of IEEE802.11g OFDM S. Sivanantham 1*, R.

More information

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA S.Karthikeyan 1 Dr.P.Rameshbabu 2,Dr.B.Justus Robi 3 1 S.Karthikeyan, Research scholar JNTUK., Department of ECE, KVCET,Chennai

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

What s Behind 5G Wireless Communications?

What s Behind 5G Wireless Communications? What s Behind 5G Wireless Communications? Marc Barberis 2015 The MathWorks, Inc. 1 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile Broadband IoT

More information

Behavioral Modeling of Digital Pre-Distortion Amplifier Systems

Behavioral Modeling of Digital Pre-Distortion Amplifier Systems Behavioral Modeling of Digital Pre-Distortion Amplifier Systems By Tim Reeves, and Mike Mulligan, The MathWorks, Inc. ABSTRACT - With time to market pressures in the wireless telecomm industry shortened

More information

Rapid Design of FIR Filters in the SDR- 500 Software Defined Radio Evaluation System using the ASN Filter Designer

Rapid Design of FIR Filters in the SDR- 500 Software Defined Radio Evaluation System using the ASN Filter Designer Rapid Design of FIR Filters in the SDR- 500 Software Defined Radio Evaluation System using the ASN Filter Designer Application note (ASN-AN026) October 2017 (Rev B) SYNOPSIS SDR (Software Defined Radio)

More information

Supplemental Slides: MIMO Testbed Development at the MPRG Lab

Supplemental Slides: MIMO Testbed Development at the MPRG Lab Supplemental Slides: MIMO Testbed Development at the MPRG Lab Raqibul Mostafa Jeffrey H. Reed Slide 1 Overview Space Time Coding (STC) Overview Virginia Tech Space Time Adaptive Radio (VT-STAR) description:

More information

Sampling. A Simple Technique to Visualize Sampling. Nyquist s Theorem and Sampling

Sampling. A Simple Technique to Visualize Sampling. Nyquist s Theorem and Sampling Sampling Nyquist s Theorem and Sampling A Simple Technique to Visualize Sampling Before we look at SDR and its various implementations in embedded systems, we ll review a theorem fundamental to sampled

More information

SCA WAVEFORM DEVELOPMENT FOR SPACE TELEMETRY

SCA WAVEFORM DEVELOPMENT FOR SPACE TELEMETRY SCA WAVEFORM DEVELOPMENT FOR SPACE TELEMETRY Dale J. Mortensen 1 (ZIN Technologies, Inc., Brook Park, Ohio, USA; dale.mortensen@zin-tech.com); Muli Kifle (NASA Glenn Research Center, Cleveland, Ohio, USA;

More information

Image Enhancement using Hardware co-simulation for Biomedical Applications

Image Enhancement using Hardware co-simulation for Biomedical Applications Image Enhancement using Hardware co-simulation for Biomedical Applications Kalyani A. Dakre Dept. of Electronics and Telecommunications P.R. Pote (Patil) college of Engineering and, Management, Amravati,

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.10, September-2013, Pages:984-988 Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator MISS ANGEL

More information

Commsonic. Universal QAM/PSK Modulator CMS0004. Contact information. Continuous or burst-mode operation.

Commsonic. Universal QAM/PSK Modulator CMS0004. Contact information. Continuous or burst-mode operation. Universal QAM/PSK Modulator CMS0004 Continuous or burst-mode operation. Symbol mapping for QAM orders from 2 (BPSK) to 256 (256-QAM) including support for cross, circular (MPSK) and offset (staggered)

More information

QAM Receiver Reference Design V 1.0

QAM Receiver Reference Design V 1.0 QAM Receiver Reference Design V 10 Copyright 2011 2012 Xilinx Xilinx Revision date ver author note 9-28-2012 01 Alex Paek, Jim Wu Page 2 Overview The goals of this QAM receiver reference design are: Easily

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

FPGA BASED WAVEFORM DESIGN TECHNIQUES FOR SOFTWARE DEFINED RADIOS

FPGA BASED WAVEFORM DESIGN TECHNIQUES FOR SOFTWARE DEFINED RADIOS FPGA BASED WAVEFORM DESIG TECHIQUES FOR SOFTWARE DEFIED RADIOS Steven W. Cox (General Dynamics Decision Systems, 80 E. Roosevelt, Scottsdale, AZ, steve.cox@gdds.com) ABSTRACT Design techniques are presented

More information

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Prof. Mahesh M.Gadag Communication Engineering, S. D. M. College of Engineering & Technology, Dharwad, Karnataka, India Mr.

More information

Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA

Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA 1 Neenu Joseph, 2 Dr. P Nirmal Kumar 1 Research Scholar, Department of ECE Anna University, Chennai,

More information

IMPLEMENTATION OF SOFTWARE-BASED 2X2 MIMO LTE BASE STATION SYSTEM USING GPU

IMPLEMENTATION OF SOFTWARE-BASED 2X2 MIMO LTE BASE STATION SYSTEM USING GPU IMPLEMENTATION OF SOFTWARE-BASED 2X2 MIMO LTE BASE STATION SYSTEM USING GPU Seunghak Lee (HY-SDR Research Center, Hanyang Univ., Seoul, South Korea; invincible@dsplab.hanyang.ac.kr); Chiyoung Ahn (HY-SDR

More information

Using a COTS SDR as a 5G Development Platform

Using a COTS SDR as a 5G Development Platform February 13, 2019 Bob Muro, Pentek Inc. Using a COTS SDR as a 5G Development Platform This article is intended to familiarize radio engineers with the use of a multi-purpose commercial off-the-shelf (COTS)

More information

Digital Payload Modeling for Space Applications

Digital Payload Modeling for Space Applications Digital Payload Modeling for Space Applications Bradford S. Watson Staff Engineer Advanced Algorithm Development Group Copyright 28. Lockheed Martin Corporation. All rights reserved..ppt 5/9/28 1 Overview

More information

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Design of Multiplier Less 32 Tap FIR Filter using VHDL International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of Multiplier Less 32 Tap FIR Filter using VHDL Abul Fazal Reyas Sarwar 1, Saifur Rahman 2 1 (ECE, Integral University, India)

More information

Abstract of PhD Thesis

Abstract of PhD Thesis FACULTY OF ELECTRONICS, TELECOMMUNICATION AND INFORMATION TECHNOLOGY Irina DORNEAN, Eng. Abstract of PhD Thesis Contribution to the Design and Implementation of Adaptive Algorithms Using Multirate Signal

More information

DATA INTEGRATION MULTICARRIER REFLECTOMETRY SENSORS

DATA INTEGRATION MULTICARRIER REFLECTOMETRY SENSORS Report for ECE 4910 Senior Project Design DATA INTEGRATION IN MULTICARRIER REFLECTOMETRY SENSORS Prepared by Afshin Edrissi Date: Apr 7, 2006 1-1 ABSTRACT Afshin Edrissi (Cynthia Furse), Department of

More information

Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5

Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5 Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5 Bharti Gondhalekar, Rajesh Bansode, Geeta Karande, Devashree Patil Abstract OFDM offers high spectral efficiency and resilience to multipath

More information

Anju 1, Amit Ahlawat 2

Anju 1, Amit Ahlawat 2 Implementation of OFDM based Transreciever for IEEE 802.11A on FPGA Anju 1, Amit Ahlawat 2 1 Hindu College of Engineering, Sonepat 2 Shri Baba Mastnath Engineering College Rohtak Abstract This paper focus

More information

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL G.Murugesan N. Ramadass Dr.J.Raja paul Perinbum School of ECE Anna University Chennai-600 025 Gm1gm@rediffmail.com ramadassn@yahoo.com

More information

IP-PSK-DEMOD4. BPSK, QPSK, 8-PSK Demodulator for FPGA FEATURES DESCRIPTION APPLICATIONS HARDWARE SUPPORT DELIVERABLES

IP-PSK-DEMOD4. BPSK, QPSK, 8-PSK Demodulator for FPGA FEATURES DESCRIPTION APPLICATIONS HARDWARE SUPPORT DELIVERABLES BPSK, QPSK, 8-PSK Demodulator for FPGA v1.3 FEATURES Multi-mode Phase Shift Keyed demodulator supports BPSK, QPSK, 8-PSK Symbol rates up to 682.5 KSPS Matched filtering with programmable Root Raised Cosine

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 1, January 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design of Digital

More information

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator Design and FPGA Implementation of an Adaptive Demodulator Sandeep Mukthavaram August 23, 1999 Thesis Defense for the Degree of Master of Science in Electrical Engineering Department of Electrical Engineering

More information

A SOFTWARE RE-CONFIGURABLE ARCHITECTURE FOR 3G AND WIRELESS SYSTEMS

A SOFTWARE RE-CONFIGURABLE ARCHITECTURE FOR 3G AND WIRELESS SYSTEMS A SOFTWARE RE-CONFIGURABLE ARCHITECTURE FOR 3G AND WIRELESS SYSTEMS E. Sereni 1, G. Baruffa 1, F. Frescura 1, P. Antognoni 2 1 DIEI - University of Perugia, Perugia, ITALY 2 Digilab2000 - Foligno (PG)

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA Mr. Pratik A. Bhore 1, Miss. Mamta Sarde 2 pbhore3@gmail.com1, mmsarde@gmail.com2 Department of Electronics & Communication Engineering Abha Gaikwad-Patil

More information

SDR OFDM Waveform design for a UGV/UAV communication scenario

SDR OFDM Waveform design for a UGV/UAV communication scenario SDR OFDM Waveform design for a UGV/UAV communication scenario SDR 11-WInnComm-Europe Christian Blümm 22nd June 2011 Content Introduction Scenario Hardware Platform Waveform TDMA Designing and Testing Conclusion

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

AN FPGA IMPLEMENTATION OF ALAMOUTI S TRANSMIT DIVERSITY TECHNIQUE

AN FPGA IMPLEMENTATION OF ALAMOUTI S TRANSMIT DIVERSITY TECHNIQUE AN FPGA IMPLEMENTATION OF ALAMOUTI S TRANSMIT DIVERSITY TECHNIQUE Chris Dick Xilinx, Inc. 2100 Logic Dr. San Jose, CA 95124 Patrick Murphy, J. Patrick Frantz Rice University - ECE Dept. 6100 Main St. -

More information

SOFTWARE RADIOS APPLYING TO THE DGPS TRANSCEIVERS

SOFTWARE RADIOS APPLYING TO THE DGPS TRANSCEIVERS SOFTWARE RADIOS APPLYING TO THE DGPS TRANSCEIVERS Item Type text; Proceedings Authors Wu, Hao; Zhang, Naitong Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

Programmable Wireless Networking Overview

Programmable Wireless Networking Overview Programmable Wireless Networking Overview Dr. Joseph B. Evans Program Director Computer and Network Systems Computer & Information Science & Engineering National Science Foundation NSF Programmable Wireless

More information

EE25266 ASIC/FPGA Chip Design. Designing a FIR Filter, FPGA in the Loop, Ethernet

EE25266 ASIC/FPGA Chip Design. Designing a FIR Filter, FPGA in the Loop, Ethernet EE25266 ASIC/FPGA Chip Design Mahdi Shabany Electrical Engineering Department Sharif University of Technology Assignment #8 Designing a FIR Filter, FPGA in the Loop, Ethernet Introduction In this lab,

More information

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012 Vol. 8(34), pp. 1658-1669, 11 September, 2013 DOI 10.5897/SRE12.171 ISSN 1992-2248 2013 Academic Journals http://www.academicjournals.org/sre Scientific Research and Essays Full Length Research Paper Field-programmable

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA

Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA By Raajit Lall, Abhishek Rao, Sandeep Hari, and Vinay Kumar Spectral measurements for some of the Multiple

More information

FPGA Prototyping of Digital RF Transmitter Employing Delta Sigma Modulation for SDR

FPGA Prototyping of Digital RF Transmitter Employing Delta Sigma Modulation for SDR FPGA Prototyping of Digital RF Transmitter Employing Delta Sigma Modulation for SDR Mohamed A. Dahab¹ Khaled A. Shehata² Salwa H. El Ramly³ Karim A. Hamouda 4 124 Arab Academy for Science, Technology &

More information

Optimized BPSK and QAM Techniques for OFDM Systems

Optimized BPSK and QAM Techniques for OFDM Systems I J C T A, 9(6), 2016, pp. 2759-2766 International Science Press ISSN: 0974-5572 Optimized BPSK and QAM Techniques for OFDM Systems Manikandan J.* and M. Manikandan** ABSTRACT A modulation is a process

More information

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core -bit signed input samples gain seed 32 dithering use_complex Accepts either complex (I/Q) or real input samples Programmable

More information

Experiment # 4. Frequency Modulation

Experiment # 4. Frequency Modulation ECE 416 Fall 2002 Experiment # 4 Frequency Modulation 1 Purpose In Experiment # 3, a modulator and demodulator for AM were designed and built. In this experiment, another widely used modulation technique

More information

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Vijay Kumar Ch 1, Leelakrishna Muthyala 1, Chitra E 2 1 Research Scholar, VLSI, SRM University, Tamilnadu, India 2 Assistant Professor,

More information

Real-Time Testing Made Easy with Simulink Real-Time

Real-Time Testing Made Easy with Simulink Real-Time Real-Time Testing Made Easy with Simulink Real-Time Andreas Uschold Application Engineer MathWorks Martin Rosser Technical Sales Engineer Speedgoat 2015 The MathWorks, Inc. 1 Model-Based Design Continuous

More information

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS Journal of ELECTRICAL ENGINEERING, VOL. 60, NO. 1, 2009, 43 47 THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS Rastislav Róka For the exploitation of PLC modems, it is necessary to

More information

ni.com The NI PXIe-5644R Vector Signal Transceiver World s First Software-Designed Instrument

ni.com The NI PXIe-5644R Vector Signal Transceiver World s First Software-Designed Instrument The NI PXIe-5644R Vector Signal Transceiver World s First Software-Designed Instrument Agenda Hardware Overview Tenets of a Software-Designed Instrument NI PXIe-5644R Software Example Modifications Available

More information

New Forward Error Correction and Modulation Technologies Low Density Parity Check (LDPC) Coding and 8-QAM Modulation in the CDM-600 Satellite Modem

New Forward Error Correction and Modulation Technologies Low Density Parity Check (LDPC) Coding and 8-QAM Modulation in the CDM-600 Satellite Modem New Forward Error Correction and Modulation Technologies Low Density Parity Check (LDPC) Coding and 8-QAM Modulation in the CDM-600 Satellite Modem Richard Miller Senior Vice President, New Technology

More information

DEVELOPMENT OF A DIGITAL TERRESTRIAL FRONT END

DEVELOPMENT OF A DIGITAL TERRESTRIAL FRONT END DEVELOPMENT OF A DIGITAL TERRESTRIAL FRONT END ABSTRACT J D Mitchell (BBC) and P Sadot (LSI Logic, France) BBC Research and Development and LSI Logic are jointly developing a front end for digital terrestrial

More information

PRODUCT HOW-TO: Building an FPGA-based Digital Down Converter

PRODUCT HOW-TO: Building an FPGA-based Digital Down Converter PRODUCT HOW-TO: Building an FPGA-based Digital Down Converter By Richard Kuenzler and Robert Sgandurra Embedded.com (06/03/09, 06:37:00 AM EDT) The digital downconverter (DDC) has become a cornerstone

More information

5G R&D at Huawei: An Insider Look

5G R&D at Huawei: An Insider Look 5G R&D at Huawei: An Insider Look Accelerating the move from theory to engineering practice with MATLAB and Simulink Huawei is the largest networking and telecommunications equipment and services corporation

More information

Implementation of FPGA based Design for Digital Signal Processing

Implementation of FPGA based Design for Digital Signal Processing e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 150 156 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Implementation of FPGA based Design for Digital Signal Processing Neeraj Soni 1,

More information

Performance Measurement of Digital Modulation Schemes Using FPGA

Performance Measurement of Digital Modulation Schemes Using FPGA International Journal of Research in Engineering and Science (IJRES) ISSN (Online): 2320-9364, ISSN (Print): 2320-9356 Volume 3 Issue 12 ǁ December. 2015 ǁ PP.20-25 Performance Measurement of Digital Modulation

More information

FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom

FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom Schematic of a typical SDR Very rough schematic: Analog Stuff ADC/DAC FPGA GPP Let s ignore

More information

Ultrasonic Signal Processing Platform for Nondestructive Evaluation

Ultrasonic Signal Processing Platform for Nondestructive Evaluation Ultrasonic Signal Processing Platform for Nondestructive Evaluation (USPPNDE) Senior Project Final Report Raymond Smith Advisors: Drs. Yufeng Lu and In Soo Ahn Department of Electrical and Computer Engineering

More information

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION Sinan Yalcin and Ilker Hamzaoglu Faculty of Engineering and Natural Sciences, Sabanci University, 34956, Tuzla,

More information

Design of Mixed-Signal Microsystems in Nanometer CMOS

Design of Mixed-Signal Microsystems in Nanometer CMOS Design of Mixed-Signal Microsystems in Nanometer CMOS Carl Grace Lawrence Berkeley National Laboratory August 2, 2012 DOE BES Neutron and Photon Detector Workshop Introduction Common themes in emerging

More information

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Introduction: The CEBAF upgrade Low Level Radio Frequency (LLRF) control

More information

2009 CubeSat Developer s Workshop San Luis Obispo, CA

2009 CubeSat Developer s Workshop San Luis Obispo, CA Exploiting Link Dynamics in LEO-to-Ground Communications 2009 CubeSat Developer s Workshop San Luis Obispo, CA Michael Caffrey mpc@lanl.gov Joseph Palmer jmp@lanl.gov Los Alamos National Laboratory Paper

More information

An FPGA Based Architecture for Moving Target Indication (MTI) Processing Using IIR Filters

An FPGA Based Architecture for Moving Target Indication (MTI) Processing Using IIR Filters An FPGA Based Architecture for Moving Target Indication (MTI) Processing Using IIR Filters Ali Arshad, Fakhar Ahsan, Zulfiqar Ali, Umair Razzaq, and Sohaib Sajid Abstract Design and implementation of an

More information